Wendy Elsasser

发表

Jose Joao, Gururaj Saileshwar, Moinuddin K. Qureshi, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gururaj Saileshwar, Moinuddin K. Qureshi, Prashant J. Nair, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Nikos Nikoleris, Josep Torrellas, Thomas Shull, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Nikos Nikoleris, Yuetsu Kodama, Alec Roelke, 2020, ArXiv.

Norbert Wehn, Andreas Hansson, Christian Weis, 2017, MEMSYS.

Bobby R. Bruce, Timothy M. Jones, Syed Ali Raza Jafri, 2020, ArXiv.

Lizy Kurian John, Jiajun Wang, Prakash Ramrakhyani, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).