Xiaolong Xie

发表

Yun Liang, Wei Tan, Xiuhong Li, 2018, PPoPP.

Li Li, Xu He, Xiaolong Xie, 2010, J. Convergence Inf. Technol..

Bin Jiang, Xiaolong Xie, Hongtian Chen, 2018, 2018 Chinese Automation Congress (CAC).

Dongrui Fan, Yun Liang, Tao Wang, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yu Wang, Yun Liang, Guangyu Sun, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Yun Liang, Guangyu Sun, Deming Chen, 2013, ICCAD 2013.

Lin Lin, Shisheng Zhong, Xiaolong Xie, 2015, Expert Syst. Appl..

Lin Lin, Shisheng Zhong, Xiaolong Xie, 2013, Comput. Aided Des..

Qiong Luo, Xiaolong Xie, Zhuohang Lai, 2019, ICPP.

Yun Liang, Liana L. Fong, Wei Tan, 2016, ArXiv.

Yun Liang, Deming Chen, Xiaolong Xie, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wei Cheng, Xiaolong Xie, Qiang He, 2018, 2018 IEEE 4th International Conference on Computer and Communications (ICCC).

Lin Lin, Shisheng Zhong, Xiaolong Xie, 2014 .

Yu Wang, Yun Liang, Guangyu Sun, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Yun Liang, Tao Wang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yun Liang, Xiuhong Li, Xiaolong Xie, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wei Cheng, Xiaolong Xie, Qiang He, 2018, 2018 13th IEEE Conference on Industrial Electronics and Applications (ICIEA).

Xiuhong Li, Yun Liang, Wei Tan, 2019, HPDC.

Xiaolong Xie, Minhua Yang, Junhui Song, 2013 .

Xiaolong Xie, Caixia Liu, Xinsheng Ji, 2011, 2011 Seventh International Conference on Computational Intelligence and Security.

Lin Lin, Xiaolong Xie, Feng Guo, 2015, Eng. Appl. Artif. Intell..