Nicola Nicolici

发表

Masahiro Fujita, Andreas G. Veneris, Yu-Shen Yang, 2012, 17th Asia and South Pacific Design Automation Conference.

Nicola Nicolici, Ho Fai Ko, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Nicola Nicolici, Amin Vali, 2015, 2015 IEEE 24th North Atlantic Test Workshop.

Nicola Nicolici, Adam B. Kinsman, N. Nicolici, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andreas G. Veneris, Yu-Shen Yang, Nicola Nicolici, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, P. Rosinger, 2001 .

Bashir M. Al-Hashimi, Nicola Nicolici, 1998, IEEE Trans. Computers.

Bashir M. Al-Hashimi, Nicola Nicolici, 2003 .

Bashir M. Al-Hashimi, Nicola Nicolici, Paul M. Rosinger, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

Nicola Nicolici, Brady Benware, 2013 .

Nicola Nicolici, Ho Fai Ko, 2010, 2010 15th IEEE European Test Symposium.

Nicola Nicolici, Ho Fai Ko, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Patrick Girard, Nicola Nicolici, 2008, J. Electron. Test..

Bashir M. Al-Hashimi, Nicola Nicolici, 2000 .

Andreas G. Veneris, Yu-Shen Yang, Nicola Nicolici, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Nicola Nicolici, Adam B. Kinsman, 2009, 2009 IEEE International Conference on Computer Design.

Nicola Nicolici, Amin Vali, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Xu, Nicola Nicolici, N. Nicolici, 2004, 13th Asian Test Symposium.

Nicola Nicolici, Pouya Taatizadeh, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Qiang Xu, Nicola Nicolici, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, P. Rosinger, 2003 .

Nicola Nicolici, 2010, 2010 11th Latin American Test Workshop.

Sanjit A. Seshia, Nicola Nicolici, Subhasish Mitra, 2010, Design Automation Conference.

Nicola Nicolici, Pouya Taatizadeh, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Zahra Lak, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Luigi Carro, Fernando Morgado Dias, Irith Pomeranz, 2013, J. Electron. Test..

Nicola Nicolici, Adam B. Kinsman, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Xu, Nicola Nicolici, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nicola Nicolici, Kaveh Elizeh, 2010, Design Automation Conference.

Qiang Xu, Nicola Nicolici, Ho Fai Ko, 2005, ASP-DAC.

Nicola Nicolici, Ho Fai Ko, 2009, 2009 14th IEEE European Test Symposium.

Nicola Nicolici, Phillip Kinsman, 2013, IEEE Transactions on Computers.

Bashir M. Al-Hashimi, Nicola Nicolici, B. Al-Hashimi, 2002, IEEE Trans. Computers.

Axel Jantsch, Erik Jan Marinissen, Nicola Nicolici, 2007, IEEE Des. Test Comput..

Nicola Nicolici, Jason Thong, 2010, Design Automation Conference.

Nicola Nicolici, Zahra Lak, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nicola Nicolici, Pouya Taatizadeh, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shahin Sirouspour, Nicola Nicolici, Behzad Mahdavikhah, 2010, FPGA '10.

Qiang Xu, Nicola Nicolici, 2006, IEEE Transactions on Computers.

Bashir M. Al-Hashimi, Nicola Nicolici, Paul M. Rosinger, 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..

Nicola Nicolici, Adam B. Kinsman, 2011, IEEE Transactions on Computers.

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Adam B. Kinsman, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Qiang Xu, Nicola Nicolici, 2004, 2004 International Conferce on Test.

Shahin Sirouspour, Nicola Nicolici, Behzad Mahdavikhah, 2014, ACM Trans. Embed. Comput. Syst..

Nicola Nicolici, Bashir M. Al-Hashimi, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Nicola Nicolici, Bashir M. Al-Hashimi, P. T. Gonciari, 2002 .

Nicola Nicolici, Ho Fai Ko, Adam B. Kinsman, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Nicola Nicolici, Ho Fai Ko, 2009, 2009 IEEE International High Level Design Validation and Test Workshop.

Nicola Nicolici, Amin Vali, 2016, 2016 21th IEEE European Test Symposium (ETS).

Shahin Sirouspour, Nicola Nicolici, Mahyar Fotoohi, 2008, 2008 IEEE/RSJ International Conference on Intelligent Robots and Systems.

Nicola Nicolici, Pouya Taatizadeh, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nicola Nicolici, Xiaobing Shi, 2019 .

Nicola Nicolici, 2012, 2012 IEEE International High Level Design Validation and Test Workshop (HLDVT).

Bashir M. Al-Hashimi, Nicola Nicolici, Paul M. Rosinger, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Nicola Nicolici, Jason Thong, N. Nicolici, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nicola Nicolici, Xiaobing Shi, 2014, 2014 IEEE 23rd Asian Test Symposium.

Nicola Nicolici, Ho Fai Ko, Adam B. Kinsman, 2008, 2008 IEEE International Test Conference.

Nicola Nicolici, Xiaobing Shi, N. Nicolici, 2016, IEEE Transactions on Computers.

Xiaoqing Wen, Nicola Nicolici, 2007, 12th IEEE European Test Symposium (ETS'07).

Nicola Nicolici, Adam B. Kinsman, Scott Ollivierre, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Nicola Nicolici, Phillip Kinsman, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Nicola Nicolici, P. T. Gonciari, Bashi Al-Hashimi, 2003 .

Nicola Nicolici, Ho Fai Ko, 2006, 2006 International Conference on Computer Design.

Dan Werthimer, Henry Chen, Borivoje Nikolic, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Qiang Xu, Nicola Nicolici, Bai Hong Fang, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Qiang Xu, Nicola Nicolici, 2005, IEEE International Conference on Test, 2005..

Nicola Nicolici, Ehab Anis Daoud, N. Nicolici, 2007, 2007 IEEE International Test Conference.

Nicola Nicolici, Xiaobing Shi, 2017, 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS).

Qiang Xu, Nicola Nicolici, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, 2001 .

Kaushik Roy, Xiaoqing Wen, Patrick Girard, 2008, 2008 Design, Automation and Test in Europe.

Bashir M. Al-Hashimi, Nicola Nicolici, 2003, IEEE Design & Test of Computers.

Bashir M. Al-Hashimi, Nicola Nicolici, P. Rosinger, 2004 .

Nicola Nicolici, Adam B. Kinsman, 2010, Design Automation Conference.

Nicola Nicolici, Ho Fai Ko, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nicola Nicolici, Adam B. Kinsman, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Nicola Nicolici, Ehab Anis Daoud, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Nicola Nicolici, Jason Thong, N. Nicolici, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nicola Nicolici, Ehab Anis Daoud, 2011, IEEE Transactions on Computers.

Shahin Sirouspour, Nicola Nicolici, Adam B. Kinsman, 2010, IEEE Transactions on Haptics.

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2002, Proceedings. International Test Conference.

Nicola Nicolici, Ehab Anis Daoud, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Xiaobing Shi, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nicola Nicolici, Ho Fai Ko, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

George A. Constantinides, Nicola Nicolici, 2011, IEEE Des. Test Comput..

Robert Wille, Yong-Bin Kim, Alexandre M. Amory, 2016, Journal of electronic testing.

Nicola Nicolici, Jason Thong, 2010, 2010 IEEE International Conference on Computer Design.

Qiang Xu, Nicola Nicolici, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Xu, Krishnendu Chakrabarty, Nicola Nicolici, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Nicola Nicolici, Jason Thong, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Ho Fai Ko, 2008, 2008 Design, Automation and Test in Europe.

Bashir M. Al-Hashimi, Nicola Nicolici, Andrew D. Brown, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Bashir M. Al-Hashimi, Nicola Nicolici, Paul M. Rosinger, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Erik Jan Marinissen, Nicola Nicolici, 2007, IET Comput. Digit. Tech..

Nicola Nicolici, Adam B. Kinsman, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Nicola Nicolici, Ho Fai Ko, 2004, 13th Asian Test Symposium.

Bashir M. Al-Hashimi, Nicola Nicolici, Paul Theo Gonciari, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Qiang Xu, Krishnendu Chakrabarty, Nicola Nicolici, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nicola Nicolici, Ho Fai Ko, Adam B. Kinsman, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Zahra Lak, 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.

Bashir M. Al-Hashimi, Nicola Nicolici, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Nicola Nicolici, Ho Fai Ko, 2008, 2008 Design, Automation and Test in Europe.

Haralampos-G. D. Stratigopoulos, Nicola Nicolici, 2016, IEEE Des. Test.

Nicola Nicolici, Pouya Taatizadeh, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Xu, Nicola Nicolici, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Nicola Nicolici, Adam B. Kinsman, Scott Ollivierre, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sean Safarpour, Andreas G. Veneris, Yu-Shen Yang, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Nicola Nicolici, Xiaobing Shi, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

George A. Constantinides, Nicola Nicolici, Adam B. Kinsman, 2011, IEEE Design & Test of Computers.

Nicola Nicolici, Bai Hong Fang, 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

Kazumi Hatayama, Nicola Nicolici, Gordon Roberts, 2005, DAC '05.

Nicola Nicolici, Jason Thong, N. Nicolici, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Xu, Nicola Nicolici, Bai Hong Fang, 2003, ICCAD 2003.

Nicola Nicolici, Adam B. Kinsman, Jonathan I. Hewitt, 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

Nicola Nicolici, Adam B. Kinsman, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nicola Nicolici, Ho Fai Ko, 2012, IEEE Transactions on Computers.

Qiang Xu, Nicola Nicolici, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Bashir M. Al-Hashimi, Nicola Nicolici, Paul M. Rosinger, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Nicola Nicolici, Ehab Anis Daoud, 2008, 2008 13th European Test Symposium.

Bashir M. Al-Hashimi, Nicola Nicolici, 2004, J. Electron. Test..

Qiang Xu, Nicola Nicolici, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Nicola Nicolici, Zahra Lak, 2014, IEEE Transactions on Computers.

Nicola Nicolici, Ho Fai Ko, 2010, 2010 IEEE International Test Conference.

Nicola Nicolici, Adam B. Kinsman, 2011, IEEE Transactions on Computers.

Nicola Nicolici, Ho Fai Ko, Adam B. Kinsman, 2012, 2012 IEEE International Test Conference.

Bashir M. Al-Hashimi, Nicola Nicolici, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Bashir M. Al-Hashimi, Nicola Nicolici, P. T. Gonciari, 2002 .

Nicola Nicolici, Xiaobing Shi, 2014, 2014 International Test Conference.