Shekhar Borkar

发表

Saurabh Dighe, Nitin Borkar, Yatin Hoskote, 2007, 2007 IEEE Hot Chips 19 Symposium (HCS).

Sanu Mathew, Bart R. Zeydel, Vojin G. Oklobdzija, 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

Atila Alvandpour, Ram Krishnamurthy, Shekhar Borkar, 2001, VLSIC 2001.

Shekhar Borkar, Fabrice Paillet, Gerhard Schrom, 2011, 2011 IEEE Hot Chips 23 Symposium (HCS).

Shekhar Borkar, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Shekhar Borkar, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Mircea R. Stan, Sriram R. Vangal, Vasantha Erraguntla, 2001 .

Shekhar Borkar, 2010, 2010 Symposium on VLSI Technology.

Shekhar Borkar, S. Borkar, 2013, Journal of Lightwave Technology.

Kevin Zhang, Vivek De, Shekhar Borkar, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Andrew B. Kahng, Shekhar Borkar, Nancy Nettleton, 2001, DAC '01.

Shekhar Borkar, 2013, 2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S).

James Tschanz, Chris H. Kim, Shekhar Borkar, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Mark Anders, Amit Agarwal, Steven Hsu, 2012, DAC Design Automation Conference 2012.

Andrew A. Chien, Christian Engelmann, Robert Ross, 2012 .

Georges Gielen, Shekhar Borkar, Charles Sodini, 2006 .

Shekhar Borkar, 2012, 2012 IEEE Asian Solid State Circuits Conference (A-SSCC).

Jack Dongarra, Andrew A. Chien, Martin Rinard, 2015 .

Saurabh Dighe, Nitin Borkar, Kaushik Roy, 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

Himanshu Kaul, Amit Agarwal, Shekhar Borkar, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Shekhar Borkar, 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

Pradeep Dubey, Shekhar Borkar, David J. Kuck, 2005 .

Vivek De, Shekhar Borkar, Tanay Karnik, 2002, ICCAD 2002.

Shekhar Borkar, Intel Fellow, 2005 .

Shekhar Borkar, 2013, 2013 Optical Interconnects Conference.

David Yeh, Shekhar Borkar, Anant Agarwal, 2008, IEEE Design & Test of Computers.

Timothy Mattson, Saurabh Dighe, Tiju Jacob, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Anantha Chandrakasan, Vivek De, Shekhar Borkar, 2002 .