Gregory K. Chen
发表
Sanu Mathew,
Sudhir Satpathy,
Vikram Suresh,
2015,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Ronald G. Dreslinski,
Sudhir Satpathy,
2012,
2012 IEEE International Solid-State Circuits Conference.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
2011 12th International Symposium on Quality Electronic Design.
Sanu Mathew,
Sudhir Satpathy,
Mark Anders,
2014,
ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).
Himanshu Kaul,
Amit Agarwal,
Gregory K. Chen,
2020,
2020 IEEE Symposium on VLSI Circuits.
Himanshu Kaul,
Amit Agarwal,
Gregory K. Chen,
2020,
IEEE Solid-State Circuits Letters.
Sanu Mathew,
Sudhir Satpathy,
Vikram Suresh,
2016,
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
Himanshu Kaul,
Amit Agarwal,
Gregory K. Chen,
2020,
IEEE Solid-State Circuits Letters.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Gregory K. Chen,
Ram Krishnamurthy,
Raghavan Kumar,
2018,
2018 IEEE Symposium on VLSI Circuits.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2012,
2012 IEEE Radio and Wireless Symposium.
Vikram Suresh,
Himanshu Kaul,
Amit Agarwal,
2020,
2020 IEEE International Solid- State Circuits Conference - (ISSCC).
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
2011 IEEE International Symposium of Circuits and Systems (ISCAS).
Gregory K. Chen,
Ram Krishnamurthy,
Aravind Dasu,
2019,
FPGA.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
David Blaauw,
Ronald G. Dreslinski,
Sudhir Satpathy,
2013,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Chaitali Chakrabarti,
Gregory K. Chen,
Ram Krishnamurthy,
2017,
2017 IEEE Biomedical Circuits and Systems Conference (BioCAS).
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
Proceedings of the IEEE.
Gregory K. Chen,
Ram Krishnamurthy,
Raghavan Kumar,
2016
.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
IEEE Custom Integrated Circuits Conference 2010.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2009,
2009 Symposium on VLSI Circuits.
Sanu Mathew,
Sudhir Satpathy,
Vikram Suresh,
2015,
ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
2011 IEEE International Symposium of Circuits and Systems (ISCAS).
Sudhir Satpathy,
Vikram Suresh,
Himanshu Kaul,
2020,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2013,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Krisztián Flautner,
Ronald G. Dreslinski,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
2011 IEEE International Solid-State Circuits Conference.
Sanu Mathew,
Sudhir Satpathy,
Mark Anders,
2016,
2016 IEEE International Solid-State Circuits Conference (ISSCC).
Sanu Mathew,
Sudhir Satpathy,
Mark Anders,
2016,
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011,
IEEE Transactions on Circuits and Systems I: Regular Papers.
David Blaauw,
Ronald G. Dreslinski,
Sudhir Satpathy,
2013,
CACM.
Sanu Mathew,
Sudhir Satpathy,
Mark Anders,
2014,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Ronald G. Dreslinski,
Sudhir Satpathy,
2012,
IEEE Micro.
Sanu Mathew,
Sudhir Satpathy,
Vikram Suresh,
2016,
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).
Gregory K. Chen,
Raghavan Kumar,
H. Ekin Sumbul,
2019,
IEEE Journal of Solid-State Circuits.
Sanu Mathew,
Sudhir Satpathy,
Vikram Suresh,
2016,
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
Himanshu Kaul,
Amit Agarwal,
Gregory K. Chen,
2021,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Gregory K. Chen,
Trevor N. Mudge,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Gregory K. Chen,
2011
.
Himanshu Kaul,
Amit Agarwal,
Gregory K. Chen,
2014,
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
David Blaauw,
Gregory K. Chen,
Trevor N. Mudge,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
David Blaauw,
Jin Hu,
Gregory K. Chen,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Gregory K. Chen,
2010
.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2011
.
Gregory K. Chen,
Phil V. Knag,
R. Krishnamurthy,
2022,
2022 IEEE International Solid- State Circuits Conference (ISSCC).
Gregory K. Chen,
Ram Krishnamurthy,
Aravind Dasu,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Vikram Suresh,
Himanshu Kaul,
Amit Agarwal,
2016,
IEEE Journal of Solid-State Circuits.
Gregory K. Chen,
Carlos Tokunaga,
Phil C. Knag,
2023,
IEEE Journal of Solid-State Circuits.
Gregory K. Chen,
R. Krishnamurthy,
Carlos Tokunaga,
2022,
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).
Sanu Mathew,
Mark Anders,
Himanshu Kaul,
2020,
2020 IEEE International Solid- State Circuits Conference - (ISSCC).
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
2010 Proceedings of ESSCIRC.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Gregory K. Chen,
Dennis Sylvester,
D. Blaauw,
2010
.