Keejong Kim

发表

Kaushik Roy, Swaroop Ghosh, Pooja Batra, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Keejong Kim, H Mahmoodi, K Roy, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Kaushik Roy, Jaydeep P. Kulkarni, Keejong Kim, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

K. Roy, Keejong Kim, S. Mukhopadhyay, 2007, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Sang Phill Park, Kunhyuk Kang, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Keejong Kim, Tamer Cakici, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Kaushik Roy, Chris H. Kim, Keejong Kim, 2005, Sixth international symposium on quality electronic design (isqed'05).

Dongkyu Park, K. Roy, Keejong Kim, 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Kaushik Roy, Kunhyuk Kang, Keejong Kim, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Kaushik Roy, Jaydeep P. Kulkarni, Sang Phill Park, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 IEEE International SOC Conference.

Myeong-Eun Hwang, K. Roy, A. Raychowdhury, 2007, 2007 IEEE Symposium on VLSI Circuits.

Kaushik Roy, Kunhyuk Kang, Muhammad Ashraful Alam, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Kaushik Roy, Jae-Joon Kim, Ik Joon Chang, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Hamid Mahmoodi, Keejong Kim, 2008, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Jaydeep P. Kulkarni, Keejong Kim, 2007 .