Mrinmoy Ghosh

发表

P. P. Chakrabarti, Amit Gupta, Rajeev Kumar, 2005, SIGP.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, H. Lee, 2007, 2007 International Conference on Parallel and Distributed Systems.

Mrinmoy Ghosh, Jim Uttaro, Sandy Breeze, 2018 .

Mrinmoy Ghosh, Manu Awasthi, Anahita Shayesteh, 2015, ICPE.

Mrinmoy Ghosh, Ningfang Mi, Manu Awasthi, 2018, IEEE Transactions on Big Data.

Mitchell Hayenga, Mrinmoy Ghosh, Prakash Ramrakhyani, 2008, MEDEA '08.

Anupam Mondal, Mrinmoy Ghosh, Sandipan Debnath, 2012 .

Hsien-Hsin S. Lee, Mrinmoy Ghosh, H. Lee, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2005, CARN.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2004, IEEE International SOC Conference, 2004. Proceedings..

Mrinmoy Ghosh, Ningfang Mi, Manu Awasthi, 2016, 2016 IEEE International Conference on Cloud Computing Technology and Science (CloudCom).

Mrinmoy Ghosh, Manu Awasthi, Anahita Shayesteh, 2015, SYSTOR.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Karsten Schwan, Hsien-Hsin S. Lee, Mrinmoy Ghosh, 2011, 2011 International Conference on Parallel Processing.

Dam Sunwoo, Mrinmoy Ghosh, William Wang, 2013, 2013 IEEE International Symposium on Workload Characterization (IISWC).

Trevor N. Mudge, Hsien-Hsin S. Lee, Weidong Shi, 2005, Second International Conference on Autonomic Computing (ICAC'05).

Mrinmoy Ghosh, Pranam Paul, B. Roy, 2009 .

Mrinmoy Ghosh, Nigel C. Paver, Chander Sudanthi, 2009, 2009 IEEE International SOC Conference (SOCC).

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..

Mrinmoy Ghosh, Manu Awasthi, Anahita Shayesteh, 2015, SIGMETRICS.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, Emre Özer, 2006, ARCS.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Hsien-Hsin S. Lee, Dong Hyuk Woo, Mrinmoy Ghosh, 2006, CASES '06.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, Fayez Mohamood, 2008, J. Syst. Archit..