Youngdon Choi

发表

Il Han Park, Jeong-Don Ihm, Doo-Hyun Kim, 2018, IEEE Journal of Solid-State Circuits.

Moon-Sang Hwang, Deog-Kyoon Jeong, Bong-Joon Lee, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

Jiyoon Park, Dae-Seok Byeon, Jisu Kim, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Ki-Whan Song, Young-Hyun Jun, Jaewhan Kim, 2011, 2011 IEEE International Solid-State Circuits Conference.

Jung Sunwoo, Young-Chan Jang, Yun-Sang Lee, 2009, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Jung-Bae Lee, Youngdon Choi, 2006 .

Sungkyung Park, Wonchan Kim, Yeon-Jae Jung, 2001 .

Jung Sunwoo, Yun-Sang Lee, Sang-Yun Kim, 2008, 2008 IEEE Asian Solid-State Circuits Conference.

Seong-Ook Jung, Youngdon Choi, Jisu Kim, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jonghoon Park, Jeong-Don Ihm, Jiyoung Lee, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Joon-Young Park, Changhyun Kim, Young-Chan Jang, 2009, 2009 Symposium on VLSI Circuits.

Qi Wang, Jaewhan Kim, Jinyoung Kim, 2012, 2012 IEEE International Solid-State Circuits Conference.

Mohamed M. Morsy, Jonathan L. Goodall, Bakinam T. Essawy, 2020, Environ. Model. Softw..

Jonathan L. Goodall, Tanu Malik, Jason Chuah, 2019, PARCO.

Kyungmin Kim, Wook-Ghee Hahn, Ki-Whan Song, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Kyungmin Kim, Ki-Whan Song, Jonghoon Park, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Shaowen Wang, Anand Padmanabhan, Anthony M. Castronova, 2019, PEARC.

Wonchan Kim, Hwi-Cheol Kim, Youngdon Choi, 1999, AP-ASIC'99. First IEEE Asia Pacific Conference on ASICs (Cat. No.99EX360).