Richard B. Brown

发表

Michael S. McCorquodale, Mei Kim Ding, Richard B. Brown, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Richard B. Brown, Steven M. Martin, Fadi H. Gebara, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Silicon Graphics Computer Systems, Richard B. Brown, Alexander T. Ishii, 1997 .

Michael S. McCorquodale, Richard B. Brown, 2009, 2009 IEEE International Frequency Control Symposium Joint with the 22nd European Frequency and Time forum.

Kevin J. Nowka, Dennis Sylvester, Richard B. Brown, 2005, Sixth international symposium on quality electronic design (isqed'05).

Richard B. Brown, Spencer M. Gold, Bruce Bernhardt, 1999, Proceedings 20th Anniversary Conference on Advanced Research in VLSI.

Richard B. Brown, Timothy D. Strong, Hakhyun Nam, 2003 .

Ching-Te Chuang, Richard B. Brown, Rahul M. Rao, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Ching-Te Chuang, Richard B. Brown, Rahul M. Rao, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Richard B. Brown, Rahul M. Rao, Jeffrey L. Burns, 2004, 17th International Conference on VLSI Design. Proceedings..

Ondrej Novák, Richard B. Brown, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Richard B. Brown, C. Kim, D. Kang, 1991, [1991] Proceedings. First Great Lakes Symposium on VLSI.

Himanshu Kaul, Sani R. Nassif, Dennis Sylvester, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Richard B. Brown, Ondrej Novak, Cameron Charles, 2011, 2011 IEEE International Conference on Ultra-Wideband (ICUWB).

Michael S. McCorquodale, Richard B. Brown, Justin D. O'Day, 2007, IEEE Journal of Solid-State Circuits.

Dennis Sylvester, Richard B. Brown, Matthew R. Guthaus, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Michael S. McCorquodale, Richard B. Brown, Eric D. Marsman, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Richard B. Brown, R. J. Lomax, Michael Upton, 1994, Proceedings of 1994 IEEE GaAs IC Symposium.

Dennis Sylvester, Vladimir Zolotov, Richard B. Brown, 2005, ACM Great Lakes Symposium on VLSI.

Trevor Mudge, Richard B. Brown, R. J. Lomax, 1993, 1993 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Michael S. McCorquodale, Richard B. Brown, James McCann, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Richard B. Brown, Amlan Ghosh, Rob Franklin, 2010, 2010 23rd International Conference on VLSI Design.

Richard B. Brown, Ondrej Novak, Bennion Redd, 2013, 2013 International SoC Design Conference (ISOCC).

Richard B. Brown, Koushik K. Das, 2000, 2000 IEEE International SOI Conference. Proceedings (Cat. No.00CH37125).

Richard B. Brown, Steven M. Martin, Timothy D. Strong, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1997, IEEE Trans. Computers.

Richard B. Brown, Fadi H. Gebara, Matthew R. Guthaus, 2003 .

Richard B. Brown, J. Toole, J. Toole, 1993, 15th Annual GaAs IC Symposium.

Michael S. McCorquodale, Richard B. Brown, Fadi H. Gebara, 2003, VLSI-SOC.

Michael S. McCorquodale, Richard B. Brown, Fadi H. Gebara, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Richard B. Brown, Xiaowen Liu, P. N. Parakh, 1997, Proceedings 1997 IEEE Multi-Chip Module Conference.

Ching-Te Chuang, Richard B. Brown, Rahul M. Rao, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Richard B. Brown, Rahul M. Rao, Anirudh Devgan, 2003, ISLPED '03.

Michael S. McCorquodale, Richard B. Brown, Robert M. Senger, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Richard B. Brown, Ondrej Novak, Cameron Charles, 2011, 2011 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Michael S. McCorquodale, Richard B. Brown, Eric D. Marsman, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Dennis Sylvester, Richard B. Brown, Matthew R. Guthaus, 2008, 2008 Asia and South Pacific Design Automation Conference.

Richard B. Brown, Michael J. Kelley, Matthew A. Postiff, 1997 .

Kevin J. Nowka, Sani R. Nassif, Dennis Sylvester, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Ching-Te Chuang, Richard B. Brown, Rahul M. Rao, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Richard B. Brown, Lauren W. Underwood, James C. Smoot, 2012 .

Richard B. Brown, Fadi H. Gebara, Timothy D. Strong, 2003, Proceedings of the 15th Biennial University/Government/ Industry Microelectronics Symposium (Cat. No.03CH37488).

Michael S. McCorquodale, Richard B. Brown, Robert M. Senger, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Richard B. Brown, Timothy D. Strong, Matthew R. Guthaus, 2001 .

Kevin J. Nowka, Richard B. Brown, Alan J. Drake, 2003, VLSI-SOC.

Trevor Mudge, Richard B. Brown, R. J. Lomax, 1992, GaAs IC Symposium Technical Digest 1992.

Scott A. Mahlke, Richard B. Brown, Matthew R. Guthaus, 2003, CASES '03.

Kevin J. Nowka, Dennis Sylvester, Richard B. Brown, 2005, Sixth international symposium on quality electronic design (isqed'05).

Michael S. McCorquodale, Richard B. Brown, Robert M. Senger, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Dennis Sylvester, Richard B. Brown, Rahul M. Rao, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Richard B. Brown, Karem A. Sakallah, Phiroze N. Parakh, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Trevor N. Mudge, Richard B. Brown, Karem A. Sakallah, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Kevin J. Nowka, Dennis Sylvester, Richard B. Brown, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Richard B. Brown, R. J. Lomax, M. Nanua, 1997, Proceedings 1997 IEEE Multi-Chip Module Conference.

Scott A. Mahlke, Richard B. Brown, Matthew R. Guthaus, 2005, IEEE Transactions on Computers.

Ching-Te Chuang, Richard B. Brown, Koushik K. Das, 2008, Microelectron. J..

Trevor N. Mudge, Richard B. Brown, David Nagle, 1994, TOCS.

Trevor N. Mudge, Richard B. Brown, David Nagle, 1994, Proceedings of the 20th Annual International Symposium on Computer Architecture.

Richard B. Brown, Ondrej Novak, Kamila K. Konopinska, 2019, 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS).

John P. Hayes, Trevor N. Mudge, Richard B. Brown, 1998, TODE.

Michael S. McCorquodale, Richard B. Brown, Fadi H. Gebara, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kevin J. Nowka, Richard B. Brown, Robert K. Montoye, 2005, Sixth international symposium on quality electronic design (isqed'05).

Richard B. Brown, Phiroze N. Parakh, Richard B. Brown, 1999, ISPD '99.

Richard B. Brown, Alan J. Drake, Gordy A. Carichner, 2000, IEEE Trans. Educ..

Richard B. Brown, Steven M. Martin, Timothy D. Strong, 2008 .

Richard B. Brown, Koushik K. Das, 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

Richard B. Brown, Karem A. Sakallah, Ajay Chandna, 1995, 32nd Design Automation Conference.

Dennis Sylvester, Richard B. Brown, Matthew R. Guthaus, 2006 .

Richard B. Brown, Phiroze N. Parakh, Spencer M. Gold, 2000, Proceedings 37th Design Automation Conference.

Richard B. Brown, Jeffrey L. Burns, A. J. Drake, 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

Richard B. Brown, C. R. Gauthier, 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

Richard B. Brown, A. Chandna, D. Putti, 1995 .

Kunle Olukotun, Trevor N. Mudge, Richard B. Brown, 1991, ISCA.

Scott A. Mahlke, Richard B. Brown, Rajiv A. Ravindran, 2005, International Symposium on Code Generation and Optimization.

Dennis Sylvester, Richard B. Brown, Rahul M. Rao, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Joao Marques-Silva, Richard B. Brown, Karem A. Sakallah, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Michael S. McCorquodale, Richard B. Brown, Eric D. Marsman, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Dennis Sylvester, Richard B. Brown, Matthew R. Guthaus, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Ayman I. Kayssi, Kunle Olukotun, Trevor N. Mudge, 1991, Computer.

Joao Marques-Silva, Richard B. Brown, Karem A. Sakallah, 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

Michael S. McCorquodale, Mei Kim Ding, Richard B. Brown, 2003, 10th IEEE International Conference on Electronics, Circuits and Systems, 2003. ICECS 2003. Proceedings of the 2003.

Sani R. Nassif, Dennis Sylvester, Richard B. Brown, 2004 .

Spencer Kellis, Richard B. Brown, Bennion Redd, 2014 .

Trevor N. Mudge, Richard B. Brown, Michael Upton, 1994, ASPLOS VI.