Itir Akgun

发表

Yuan Xie, Xing Hu, Shuangchen Li, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Zhimin Zhang, Dongrui Fan, Yuan Xie, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Yuan Xie, Peng Gu, Dylan Stow, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yuan Xie, Dylan Stow, Itir Akgun, 2019, 2019 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Yuangang Wang, Yuan Xie, Jishen Zhao, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Matthew Poremba, Yuan Xie, Gabriel H. Loh, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).