Timothy Tsai

发表

Robert Mateescu, Zvonimir Bandic, Qingbo Wang, 2013, HotCloud.

Stephen W. Keckler, Brian Zimmer, Michael B. Sullivan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Stephen W. Keckler, Abdulrahman Mahmoud, Michael B. Sullivan, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

Kenny C. Gross, Kalyan Vaidyanathan, Timothy Tsai, 2006, 2006 12th Pacific Rim International Symposium on Dependable Computing (PRDC'06).

Stephen W. Keckler, Mark Stephenson, Joel S. Emer, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Karthik Pattabiraman, Michael B. Sullivan, Guanpeng Li, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, ICS.

Timothy Tsai, T. Tsai, 2016 .

Stephen W. Keckler, Oreste Villa, Timothy Tsai, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Vasu Singh, Mandar Pitale, Timothy Tsai, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Stephen W. Keckler, Hengyu Zhao, Timothy Tsai, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Zahra Ghodsi, Siddharth Garg, Iuri Frosio, 2021, 2021 IEEE Intelligent Vehicles Symposium (IV).

Stephen W. Keckler, Brian Zimmer, Timothy Tsai, 2016 .

Joel Emer, Timothy Tsai, Siva Hari, 2015 .