N. Savoiu

发表

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Nicolau, Rajesh K. Gupta, T. Kam, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Nikil D. Dutt, Alexandru Nicolau, Asheesh Khare, 2001, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, 15th International Symposium on System Synthesis, 2002..