Joel Silberman
发表
David F. Heidel,
Sang H. Dhong,
H. Peter Hofstee,
1998,
Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).
Sang H. Dhong,
Joel Silberman,
Osamu Takahashi,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Joel Silberman,
Shurong Tian,
Phillip Restle,
2016,
2016 IEEE International 3D Systems Integration Conference (3DIC).
A 3D system prototype of an eDRAM cache stacked over processor-like logic using through-silicon vias
Joel Silberman,
Matthew R. Wordeman,
Gary W. Maier,
2012,
2012 IEEE International Solid-State Circuits Conference.
Sang H. Dhong,
Joel Silberman,
Osamu Takahashi,
2005,
IEEE Micro.
Sang H. Dhong,
H. Peter Hofstee,
Joel Silberman,
2007,
IBM J. Res. Dev..
Joel Silberman,
Liang-Teck Pang,
Phillip Restle,
2012,
2012 Symposium on VLSI Circuits (VLSIC).
Sang H. Dhong,
H. Peter Hofstee,
Joel Silberman,
1998,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
Kevin J. Nowka,
Sang H. Dhong,
H. Peter Hofstee,
1998,
IEEE Micro.
Joel Silberman,
Swagath Venkataramani,
Matthew M. Ziegler,
2018,
ISLPED.
Joel Silberman,
Sanjay B. Patel,
James Dieffenderfer,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Kevin J. Nowka,
Sang H. Dhong,
H. Peter Hofstee,
1998,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
Sang H. Dhong,
Joel Silberman,
Osamu Takahashi,
2005,
IEEE Micro.
Kevin J. Nowka,
Sang H. Dhong,
H. Peter Hofstee,
2000,
Proceedings 37th Design Automation Conference.
Joel Silberman,
Swagath Venkataramani,
Matthew M. Ziegler,
2018,
2018 IEEE Symposium on VLSI Circuits.
Joel Silberman,
Swagath Venkataramani,
Matthew M. Ziegler,
2021,
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).
Joel Silberman,
Swagath Venkataramani,
Vijayalakshmi Srinivasan,
2022,
IEEE Journal of Solid-State Circuits.
Joel Silberman,
Swagath Venkataramani,
Mingu Kang,
2021,
2021 IEEE International Solid- State Circuits Conference (ISSCC).