Mathias Soeken

发表

Rolf Drechsler, Mathias Soeken, Ian G. Harris, 2014, Proceedings of the 2014 Forum on Specification and Design Languages (FDL).

Giovanni De Micheli, Mathias Soeken, Sabine Süsstrunk, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Rolf Drechsler, Mathias Soeken, Arun Chandrasekharan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Robert Wille, Rolf Drechsler, Mathias Soeken, 2014, ACM J. Emerg. Technol. Comput. Syst..

Robert Wille, Rolf Drechsler, Mathias Soeken, 2012, 17th Asia and South Pacific Design Automation Conference.

Robert Wille, Rolf Drechsler, Mathias Soeken, 2010, Design Automation Conference.

Giovanni De Micheli, Mathias Soeken, Martin Rötteler, 2017, ArXiv.

Stefan Frehse, Robert Wille, Rolf Drechsler, 2011, RC.

M. Rötteler, M. Soeken, G. Meuli, 2020, Proc. ACM Program. Lang..

Martin Roetteler, Mathias Soeken, Thomas Haener, 2018, RC.

Rolf Drechsler, Mathias Soeken, Pierre-Emmanuel Gaillardon, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaitlin N. Smith, G. De Micheli, M. Soeken, 2022, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

G. De Micheli, M. Soeken, Heinz Riener, 2021, IEEE Transactions on Quantum Engineering.

Rolf Drechsler, Mathias Soeken, Pierre-Emmanuel Gaillardon, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rolf Drechsler, Mathias Soeken, Pierre-Emmanuel Gaillardon, 2017 .

Mathias Soeken, Michael Kirkedal Thomsen, Mathias Soeken, 2013, RC.

Giovanni De Micheli, Mathias Soeken, Winston Haaswijk, 2018, ArXiv.

T. Hoefler, Prakash Murali, M. Troyer, 2022, ArXiv.

Rudy Lauwereins, Giovanni De Micheli, Mathias Soeken, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Rolf Drechsler, Giovanni De Micheli, Mathias Soeken, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Esther Guerra, Mathias Soeken, E. Guerra, 2015, Software & Systems Modeling.

Rolf Drechsler, Mathias Soeken, R. Drechsler, 2013, 2013 8th IEEE Design and Test Symposium.

Rolf Drechsler, Mathias Soeken, Arun Chandrasekharan, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mathias Soeken, Michael Naehrig, Samuel Jaques, 2020, IACR Cryptol. ePrint Arch..

Robert Wille, Rolf Drechsler, Mathias Soeken, 2016, IET Cyper-Phys. Syst.: Theory & Appl..

Mathias Soeken, Eleonora Testa, D. Michael Miller, 2019, 2019 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing (PACRIM).

Mathias Soeken, D. Michael Miller, D. M. Miller, 2018, 2018 IEEE 48th International Symposium on Multiple-Valued Logic (ISMVL).

Giovanni De Micheli, Mathias Soeken, Paolo Ienne, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Robert K. Brayton, Giovanni De Micheli, Mathias Soeken, 2016, SAT.

Mathias Soeken, D. M. Miller, M. Soeken, 2019, Advanced Boolean Techniques.

Robert K. Brayton, Giovanni De Micheli, Mathias Soeken, 2018 .

M. Soeken, Vadym Kliuchnikov, Martin Roetteler, 2022, 2211.01133.

M. Soeken, Vadym Kliuchnikov, Martin Roetteler, 2022, 2210.03680.

M. Soeken, Thomas Häner, Mathias Soeken, 2022, ACM Transactions on Quantum Computing.

Mathias Soeken, Vadym Kliuchnikov, Mariia Mykhailova, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

M. Soeken, G. Meuli, G. De Micheli, 2022, npj Quantum Information.

Mathias Soeken, Winston Haaswijk, Eleonora Testa, 2019, IEEE Transactions on Computers.

Giovanni De Micheli, Mathias Soeken, Eleonora Testa, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rolf Drechsler, Giovanni De Micheli, Mathias Soeken, 2017, Computer.

Giovanni De Micheli, Mathias Soeken, Pierre-Emmanuel Gaillardon, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Mathias Soeken, Luca Gaetano Amarù, Giovanni De Micheli, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mathias Soeken, Winston Haaswijk, Alan Mishchenko, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert K. Brayton, Giovanni De Micheli, Mathias Soeken, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Giovanni De Micheli, Mathias Soeken, Eleonora Testa, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mathias Soeken, Yinshui Xia, Giovanni De Micheli, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Giovanni De Micheli, Mathias Soeken, Winston Haaswijk, 2017, 2017 IEEE 47th International Symposium on Multiple-Valued Logic (ISMVL).

G. Micheli, M. Soeken, Winston Haaswijk, 2019 .

A. Geller, M. Troyer, M. Roetteler, 2020, Nature Reviews Physics.

Giovanni De Micheli, Mathias Soeken, Nabila Abdessaied, 2016, RC.

Wouter Castryck, Mathias Soeken, Oliver Keszöcze, 2015, 2018 IEEE 48th International Symposium on Multiple-Valued Logic (ISMVL).

Mathias Soeken, Pascal Raiola, Baruch Sterin, 2016, Haifa Verification Conference.

Rolf Drechsler, Mathias Soeken, Baruch Sterin, 2015, 2015 Formal Methods in Computer-Aided Design (FMCAD).

Rolf Drechsler, Mathias Soeken, Nabila Abdessaied, 2016, Theor. Comput. Sci..

Gerhard W. Dueck, Mathias Soeken, D. Michael Miller, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Giovanni De Micheli, Mathias Soeken, Nikolaj Bjørner, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Robert Wille, Rolf Drechsler, Mathias Soeken, 2012, 2012 IEEE 42nd International Symposium on Multiple-Valued Logic.

Robert Wille, Rolf Drechsler, Mathias Soeken, 2011, 2011 41st IEEE International Symposium on Multiple-Valued Logic.

Giovanni De Micheli, Mathias Soeken, Winston Haaswijk, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Robert Wille, Rolf Drechsler, Mathias Soeken, 2012, Proceeding of the 2012 Forum on Specification and Design Languages.

Mathias Soeken, Giovanni De Micheli, Fereshte Mozafari, 2019, Philosophical Transactions of the Royal Society A.

Robert Wille, Rolf Drechsler, Mathias Soeken, 2012, TOOLS.

Giovanni De Micheli, Mathias Soeken, Paolo Ienne, 2017, ACM Great Lakes Symposium on VLSI.

Mathias Soeken, Mariia Mykhailova, M. Soeken, 2021, Q-SET@QCE.