Doe Hyun Yoon

发表

Yuan Xie, Norman P. Jouppi, Jishen Zhao, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jinsuk Chung, Ikhwan Lee, Jee Ho Ryoo, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

David Patterson, Norman P. Jouppi, Sheng Li, 2020, Commun. ACM.

Doe Hyun Yoon, Sungho Kang, Hong Sik Kim, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Doe Hyun Yoon, Mattan Erez, M. Erez, 2011, IEEE Micro.

Doe Hyun Yoon, Byoung Su Kang, Ki Su Park, 2005 .

Norman P. Jouppi, Jichuan Chang, Parthasarathy Ranganathan, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Norman P. Jouppi, Jichuan Chang, Doe Hyun Yoon, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Doe Hyun Yoon, Hee-Suk Pang, 2005, Pattern Recognit..

Doe Hyun Yoon, Mattan Erez, Min Kyu Jeong, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

David A. Patterson, Norman P. Jouppi, Kyle Lucke, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Doe Hyun Yoon, Mattan Erez, 2010, ASPLOS 2010.

Dam Sunwoo, Mike Sullivan, Ikhwan Lee, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Doe Hyun Yoon, Hee-Suk Pang, Simon Ji, 2004, IEEE Transactions on Consumer Electronics.

Sungho Kang, Doe Hyun Yoon, Hong-Sik Kim Kim, 2000 .

Jichuan Chang, Parthasarathy Ranganathan, Doe Hyun Yoon, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Jung Ho Ahn, Ke Chen, Yuan Xie, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Doe Hyun Yoon, Mattan Erez, Michael B. Sullivan, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Doe Hyun Yoon, Mattan Erez, M. Erez, 2009, ISCA '09.

Doe Hyun Yoon, Sungho Kang Kang, Hong-Sik Kim Kim, 2001 .

Doe Hyun Yoon, Mattan Erez, 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.

Doe Hyun Yoon, Mattan Erez, M. Erez, 2010, ASPLOS XV.

Parthasarathy Ranganathan, Doe Hyun Yoon, Robert S. Schreiber, 2012, CF '12.

Ikhwan Lee, Michael Sullivan, Doe Hyun Yoon, 2011 .

Norman P. Jouppi, Jichuan Chang, Parthasarathy Ranganathan, 2012, IEEE Micro.

Norman P. Jouppi, Doe Hyun Yoon, Cliff Young, 2020, 2020 IEEE Hot Chips 32 Symposium (HCS).

Doe Hyun Yoon, Cliff Young, James Laudon, 2021, IEEE Micro.