G. Loh

发表

Gabriel H. Loh, Kevin M. Lepak, T. Burd, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Samuel Naffziger, Kevin Lepak, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Gabriel H. Loh, Hyesoon Kim, Mithuna Thottethodi, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Mark Oskin, Gabriel H. Loh, Anthony Gutierrez, 2021, ArXiv.

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Onur Mutlu, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Abhishek Bhattacharjee, Yasuko Eckert, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Karin Strauss, Gabriel H. Loh, Stuart E. Schechter, 2010, ISCA.

Gabriel H. Loh, Hong Wang, Anne Bracy, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Ján Veselý, Gabriel H. Loh, Abhishek Bhattacharjee, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Natalie D. Enright Jerger, Gabriel H. Loh, Ajaykumar Kannan, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Natalie D. Enright Jerger, Gabriel H. Loh, Zimo Li, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Babak Falsafi, Gabriel H. Loh, Djordje Jevdjic, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Gabriel H. Loh, Moinuddin K. Qureshi, G. Loh, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Mark D. Hill, Gabriel H. Loh, M. Hill, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yuan Xie, Gabriel H. Loh, Dylan Stow, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Natalie D. Enright Jerger, Matthew Poremba, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Amin Farmahini Farahani, Sudhanva Gurumurthi, 2018, MCHPC@SC.

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Gabriel H. Loh, Yasuko Eckert, N. Jayasena, 2014 .

David Roberts, Gabriel H. Loh, Mike Ignatowski, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Gabriel H. Loh, Adwait Jog, Yasuko Eckert, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Gabriel H. Loh, Niladrish Chatterjee, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Rachata Ausavarungnirun, Onur Mutlu, Gabriel H. Loh, 2018, ArXiv.

Gabriel H. Loh, Peter G. Sassone, Bryan Black, 2007, ISCA '07.

Mahmut T. Kandemir, Gabriel H. Loh, Michael LeBeane, 2021, MICRO.

Yan Solihin, Mark Oskin, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ján Veselý, Mark Oskin, Gabriel H. Loh, 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Natalie D. Enright Jerger, Gabriel H. Loh, Yasuko Eckert, 2015, MEMSYS.

Gabriel H. Loh, Adwait Jog, Yasuko Eckert, 2020, PACT.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2018, ArXiv.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2009, IEEE Transactions on Computers.

Mark Oskin, Gabriel H. Loh, M. Oskin, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Gabriel H. Loh, G. Loh, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gabriel H. Loh, Yuejian Xie, Yuejian Xie, 2009, ISCA '09.

Yuan Xie, Gabriel H. Loh, Bryan Black, 2007, IEEE Micro.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2006, GLSVLSI '06.

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

Karin Strauss, Gabriel H. Loh, Timothy Sherwood, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Natalie D. Enright Jerger, Matthew Poremba, Gabriel H. Loh, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Indrani Paul, Gabriel H. Loh, Sudhanva Gurumurthi, 2015, IEEE Micro.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2012, 2012 IEEE International Solid-State Circuits Conference.

Matthew Poremba, Yuan Xie, Gabriel H. Loh, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, G. Loh, 2008, 2008 International Symposium on Computer Architecture.

Gabriel H. Loh, Yuejian Xie, G. Loh, 2008 .

Gabriel H. Loh, Vilas Sridharan, Mike O'Connor, 2014, IEEE Micro.

Mark D. Hill, Gabriel H. Loh, M. Hill, 2012, IEEE Micro.

Lei Jiang, John Paul Shen, Gabriel H. Loh, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Gabriel H. Loh, Joseph L. Greathouse, J. Greathouse, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Gabriel H. Loh, Yuan Xie, K. Bernstein, 2006, JETC.

Bradley C. Kuszmaul, Dana S. Henry, G. Loh, 1999, SPAA '99.

Ramyad Hadidi, Gabriel H. Loh, Hyojong Kim, 2017, ACM Trans. Archit. Code Optim..

Yan Solihin, Gabriel H. Loh, Amro Awad, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Dean M. Tullsen, Gabriel H. Loh, Mitesh R. Meswani, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2001, Theory of Computing Systems.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2006, GLSVLSI '06.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2005, 2005 International Conference on Computer Design.

Sung Kyu Lim, Gabriel H. Loh, Mongkol Ekpanyapong, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ján Veselý, Mark Oskin, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Yuan Xie, Gabriel H. Loh, Bryan Black, 2006, JETC.

Gabriel H. Loh, Vilas Sridharan, Mike O'Connor, 2013, ISCA.

Gabriel H. Loh, G. Loh, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Dana S. Henry, Gabriel H. Loh, G. Loh, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

Gabriel H. Loh, G. Loh, 2003, J. Instr. Level Parallelism.

R. I. Bahar, George Z. N. Cai, P. Kudva, 2005 .

Gabriel H. Loh, Dong Hyuk Woo, D. L. Lewis, 2015, IEEE Transactions on Computers.