Jinjun Xiong
发表
Tao Wang,
Chun Zhang,
Jinjun Xiong,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Jun Chen,
James D. Z. Ma,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Jinjun Xiong,
I-Hsin Chung,
Carl Pearson,
2020
.
Jinjun Xiong,
Andrew B. Kahng,
Lei He,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jinjun Xiong,
Soroush Abbaspour,
Vladimir Zolotov,
2007,
ICCAD 2007.
Jinjun Xiong,
Meng Wang,
Ren Wang,
2020,
EURASIP J. Adv. Signal Process..
Jinjun Xiong,
Rakesh Nagi,
Deming Chen,
2018,
2018 IEEE High Performance extreme Computing Conference (HPEC).
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2020,
2020 IEEE 13th International Conference on Cloud Computing (CLOUD).
Jinjun Xiong,
Mohamed El-Hadedy,
Deming Chen,
2019,
2019 29th International Conference on Field Programmable Logic and Applications (FPL).
Jinjun Xiong,
Yunchao Wei,
Thomas S. Huang,
2020,
ArXiv.
Jinjun Xiong,
I-Hsin Chung,
Carl Pearson,
2020,
2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).
Qin Li,
Jinjun Xiong,
Deming Chen,
2019,
ASP-DAC.
Jinjun Xiong,
Vladimir Zolotov,
Hanif Fatemi,
2008,
ICCAD 2008.
Jinjun Xiong,
Lei He,
Jinjun Xiong,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Jinjun Xiong,
Yiyu Shi,
Vladimir Zolotov,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Jinjun Xiong,
Vladimir Zolotov,
Howard Chen,
2009,
J. Low Power Electron..
Jinjun Xiong,
Vladimir Zolotov,
Jeffrey G. Hemmett,
2012,
DAC Design Automation Conference 2012.
Jinjun Xiong,
Deming Chen,
Wen-mei Hwu,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jinjun Xiong,
Sheldon X.-D. Tan,
Ruijing Shen,
2012,
TODE.
Peng Deng,
Chun Zhang,
Jinjun Xiong,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Jinjun Xiong,
Yada Zhu,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Meng Wang,
Ren Wang,
2020,
EURASIP J. Adv. Signal Process..
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2019,
2019 IEEE World Congress on Services (SERVICES).
Jinjun Xiong,
Lei He,
2005,
ASP-DAC.
Jinjun Xiong,
Xuan Di,
Bo Yuan,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Jinjun Xiong,
Jacob A. Abraham,
Vladimir Zolotov,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jinjun Xiong,
Yiyu Shi,
Lei He,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jie Wu,
Jinjun Xiong,
Yiyu Shi,
2014,
ISGT 2014.
Jinjun Xiong,
Xiang Chen,
Zirui Xu,
2019,
ArXiv.
Jinjun Xiong,
Soumyadip Ghosh,
Dzung T. Phan,
2012,
2012 American Control Conference (ACC).
Jinjun Xiong,
Yunchao Wei,
Rogério Schmidt Feris,
2018,
ArXiv.
Jinjun Xiong,
Nam Sung Kim,
Deming Chen,
2019,
2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
Jinjun Xiong,
Deming Chen,
Yao Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jinjun Xiong,
Sheldon X.-D. Tan,
Ruijing Shen,
2010,
GLSVLSI '10.
Jinjun Xiong,
Chandu Visweswariah,
Debjit Sinha,
2012
.
Jinjun Xiong,
Sheldon X.-D. Tan,
Ruijing Shen,
2010,
Design Automation Conference.
Jinjun Xiong,
Ling Zhang,
Lei He,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jinjun Xiong,
Peter Feldmann,
David Kung,
2011
.
Jinjun Xiong,
Lei He,
King Ho Tam,
2005,
Design, Automation and Test in Europe.
Tao Wang,
Chun Zhang,
Jinjun Xiong,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Wenjian Yu,
Yiyu Shi,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Evaluation of Neural Network Uncertainty Estimation with Application to Resource-Constrained Platforms
pdf
Jinjun Xiong,
Yiyu Shi,
Jinglan Liu,
2019,
ArXiv.
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2020,
ArXiv.
Jinjun Xiong,
Lei He,
Andrew Kahng,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Kevin Chen-Chuan Chang,
Jie Huang,
2020,
EMNLP.
Jinjun Xiong,
Cheng Li,
Wen-Mei Hwu,
2019,
ArXiv.
Jinjun Xiong,
Lei He,
Changbo Long,
2004,
ISPD '04.
Jinjun Xiong,
Yunchao Wei,
Thomas S. Huang,
2020,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Jinjun Xiong,
Wen-mei W. Hwu,
Suma Bhat,
2019,
NAACL.
Jinjun Xiong,
Meng Wang,
Pin-Yu Chen,
2020,
ECCV.
Jinjun Xiong,
Seung Won Min,
I-Hsin Chung,
2020,
ArXiv.
Jinjun Xiong,
Mohamed El-Hadedy,
Wen-mei W. Hwu,
2020
.
Jinjun Xiong,
Seung Won Min,
Wen-mei Hwu,
2020,
VLDB 2020.
Jinjun Xiong,
Deming Chen,
Kyle Rupnow,
2019,
ArXiv.
Jinjun Xiong,
Jun Chen,
Lei He,
2002,
ICCAD 2002.
Jinjun Xiong,
Minh N. Do,
John R. Smith,
2018,
CVPR Workshops.
Jinjun Xiong,
Vladimir Zolotov,
Hanif Fatemi,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Fuxun Yu,
Zirui Xu,
2020,
ArXiv.
Jinjun Xiong,
Rakesh Nagi,
Vikram S. Mailthody,
2019,
2019 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Bingsheng Wang,
Jinjun Xiong,
2014,
KDD.
Jinjun Xiong,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Jinjun Xiong,
Lei He,
Yiu-Chung Wong,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Jinjun Xiong,
Yada Zhu,
J. D. Schuddebeurs,
2015
.
Jinjun Xiong,
Weiwen Jiang,
Yiyu Shi,
2020,
2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).
Meng Wang,
Jinjun Xiong,
Sijia Liu,
2020,
2020 54th Annual Conference on Information Sciences and Systems (CISS).
Jinjun Xiong,
Yiyu Shi,
Chenye Wu,
2012,
IEEE PES Innovative Smart Grid Technologies.
Jinjun Xiong,
Yiyu Shi,
Jie Wu,
2015,
IEEE Transactions on Power Systems.
Jie Wu,
Jinjun Xiong,
Yiyu Shi,
2015,
2015 IEEE International Conference on Smart Grid Communications (SmartGridComm).
Yu Hu,
Jinjun Xiong,
Zhen Cao,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jinjun Xiong,
Andrew B. Kahng,
Lei He,
2005,
SPIE Advanced Lithography.
Jinjun Xiong,
Lei He,
Jinjun Xiong,
2006,
ISPD '06.
Jinjun Xiong,
Lav R. Varshney,
Xiou Ge,
2018,
ICCC.
Jinjun Xiong,
Yiyu Shi,
Lei He,
2011
.
Jinjun Xiong,
Rakesh Nagi,
Carl Pearson,
2020,
2020 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Yunchao Wei,
Rogério Schmidt Feris,
2018,
ECCV.
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Jinjun Xiong,
Wen-mei Hwu,
Omer Anjum,
2020,
CSCW Companion.
Yu Hu,
Jinjun Xiong,
Zhen Cao,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Xudong Zhang,
Angela M. Bishop,
2003,
Hum. Factors.
Jinjun Xiong,
Rakesh Nagi,
Carl Pearson,
2020,
ArXiv.
Jinjun Xiong,
Vladimir Zolotov,
D. K. Beece,
2014
.
Jinjun Xiong,
Cheng Li,
Wen-Mei Hwu,
2020,
OpML.
Jinjun Xiong,
Wei Wei,
Cheng Li,
2019,
ArXiv.
Jinjun Xiong,
Lei He,
Vladimir Zolotov,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Deming Chen,
Chao Zhu,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jinjun Xiong,
Nam Sung Kim,
Deming Chen,
2017,
IEEE Micro.
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2008,
2008 Design, Automation and Test in Europe.
Jinjun Xiong,
Jinglan Liu,
Yiyu Shi,
2018,
ICLR.
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jinjun Xiong,
Yada Zhu,
Jingrui He,
2019
.
Jinjun Xiong,
Vladimir Zolotov,
Howard Chen,
2008,
PATMOS.
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2009,
2009 IEEE 8th International Conference on ASIC.
Jinjun Xiong,
Lei He,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Nam Sung Kim,
Deming Chen,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Jian Huang,
Jinjun Xiong,
Nam Sung Kim,
2019,
ASPLOS.
SCNN: A General Distribution based Statistical Convolutional Neural Network with Application to Video Object Detection
pdf
Jinjun Xiong,
Yiyu Shi,
Tianchen Wang,
2019,
AAAI.
Jinjun Xiong,
Lei He,
Vladimir Zolotov,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jinjun Xiong,
Deming Chen,
Wen-mei W. Hwu,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Yiyu Shi,
Meng Jiang,
2018,
CIKM.
Jinjun Xiong,
Cheng Li,
Wen-mei W. Hwu,
2018,
1811.09737.
Jinjun Xiong,
Yiyu Shi,
Jie Wu,
2014,
2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).
Jinjun Xiong,
Minh N. Do,
Alexander G. Schwing,
2018,
NIPS.
Jinjun Xiong,
Hubertus Franke,
Wen-Mei Hwu,
2019,
MICRO.
Jinjun Xiong,
Jinglan Liu,
Yiyu Shi,
2019,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Jinjun Xiong,
Yiyu Shi,
Vladimir Zolotov,
2013,
TODE.
Jinjun Xiong,
Meng Wang,
Pin-Yu Chen,
2020,
IEEE Transactions on Neural Networks and Learning Systems.
Jinjun Xiong,
Dzung T. Phan,
David Kung,
2015,
2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems.
Jinjun Xiong,
Deming Chen,
Honghui Shi,
2020,
MLSys.
Jinjun Xiong,
Jing Chen,
Lei He,
2011,
2011 21st International Conference on Field Programmable Logic and Applications.
Jinjun Xiong,
Vladimir Zolotov,
Natesan Venkateswaran,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jinjun Xiong,
Cheng Li,
Wen-mei W. Hwu,
2018,
ICS.
Jinjun Xiong,
Wen-mei W. Hwu,
Mohamed El-Hadedy,
2020
.
A Retrospective Recount of Computer Architecture Research with a Data-Driven Study of Over Four Decades of ISCA Publications
pdf
Jinjun Xiong,
Omer Anjum,
Wen-Mei Hwu,
2019,
ArXiv.
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2019,
ArXiv.
Jinjun Xiong,
Vladimir Zolotov,
Hanif Fatemi,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Jinjun Xiong,
Yiyu Shi,
Lei He,
2007,
ICCAD 2007.
Jinjun Xiong,
Yuhong Li,
Deming Chen,
2020,
ACM Great Lakes Symposium on VLSI.
Jinjun Xiong,
Lei He,
Lerong Cheng,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Jian Zhuang,
Meiping Huang,
2020,
MICCAI.
Jie Wu,
Jinjun Xiong,
Yiyu Shi,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Deming Chen,
Xiaofan Zhang,
2018,
ACM Great Lakes Symposium on VLSI.
Jinjun Xiong,
Cheng Li,
Wen-Mei W. Hwu,
2018,
ArXiv.
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2008,
2008 Design, Automation and Test in Europe.
Jinjun Xiong,
Vladimir Zolotov,
Natesan Venkateswaran,
2012,
DAC Design Automation Conference 2012.
Jinjun Xiong,
Vladimir Zolotov,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Xiaowei Xu,
Jian Zhuang,
2020,
MIDL.
Jinjun Xiong,
David E. Lackey,
Vladimir Zolotov,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Jinjun Xiong,
Yiyu Shi,
Bingjun Xiao,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Jinjun Xiong,
Lingfei Wu,
Julia Hockenmaier,
2020,
ACL.
Jinjun Xiong,
Yiyu Shi,
Lei He,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Yuhong Li,
Deming Chen,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Jinjun Xiong,
Cheng Li,
Wen-Mei W. Hwu,
2018,
2019 IEEE 12th International Conference on Cloud Computing (CLOUD).
Jinjun Xiong,
Nam Sung Kim,
Deming Chen,
2017,
2017 IEEE International Conference on Rebooting Computing (ICRC).
Jinjun Xiong,
Vladimir Zolotov,
Daniel K. Beece,
2010,
Design Automation Conference.
Jinjun Xiong,
Nam Sung Kim,
Rakesh Nagi,
2017,
2017 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Minh N. Do,
John R. Smith,
2019,
IEEE Transactions on Multimedia.
Jinjun Xiong,
Yongpan Liu,
Changbo Long,
2006,
APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2020,
ICPE.
Jinjun Xiong,
Zehra Sura,
I-Hsin Chung,
2018,
ISC Workshops.
Jinjun Xiong,
Rakesh Nagi,
Deming Chen,
2019,
2019 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Donald C. Wunsch,
Yiyu Shi,
2014,
2014 IEEE International Conference on Smart Grid Communications (SmartGridComm).
Jinjun Xiong,
Jacob A. Abraham,
Vladimir Zolotov,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Jinjun Xiong,
Wei Wei,
Wen-Mei Hwu,
2019,
ArXiv.
Jinjun Xiong,
Cheng Li,
Abdul Dakkak,
2020,
2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
Jinjun Xiong,
Mohamed El-Hadedy,
Wen-mei W. Hwu,
2020
.
TrIMS: Transparent and Isolated Model Sharing for Low Latency Deep LearningInference in Function as a Service Environments
pdf
Jinjun Xiong,
Wen-mei W. Hwu,
Cheng Li,
2018,
ArXiv.
Jinjun Xiong,
Indranil Palit,
Lin Yang,
2018,
2018 IEEE 31st International Symposium on Computer-Based Medical Systems (CBMS).
Jinjun Xiong,
Soroush Abbaspour,
Vladimir Zolotov,
2007,
2007 IEEE/ACM International Conference on Computer-Aided Design.
Tao Wang,
Chun Zhang,
Jinjun Xiong,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jie Wu,
Jinjun Xiong,
Yiyu Shi,
2015,
2015 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference (ISGT).
Jinjun Xiong,
Vladimir ZolotovNatesan,
Venkateswaran Chandu Visweswariah,
2006
.
Jinjun Xiong,
Meng Wang,
Ren Wang,
2019,
EURASIP J. Adv. Signal Process..
Jinjun Xiong,
Weiwen Jiang,
Yiyu Shi,
2020,
Nature Communications.
Jinjun Xiong,
Lei He,
Jinjun Xiong,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jinjun Xiong,
Lei He,
Mike Hutton,
2006,
2006 International Conference on Field Programmable Logic and Applications.
Jinjun Xiong,
Yunchao Wei,
Yukun Zhu,
2019,
2019 IEEE/CVF International Conference on Computer Vision (ICCV).
Majid Sarrafzadeh,
Jinjun Xiong,
Fang Gong,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jinjun Xiong,
Lei He,
Lerong Cheng,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Jinjun Xiong,
Wenjian Yu,
Zhiping Yu,
2008,
2008 Design, Automation and Test in Europe.
PyTorch-Direct: Enabling GPU Centric Data Access for Very Large Graph Neural Network Training with Irregular Accesses
pdf
Jinjun Xiong,
Seung Won Min,
Deming Chen,
2021,
ArXiv.
Jinjun Xiong,
2010,
SIGD.
Jinjun Xiong,
Ling Zhang,
Lei He,
2004,
2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jinjun Xiong,
Meng Wang,
Ren Wang,
2018,
IEEE Journal of Selected Topics in Signal Processing.
Jinjun Xiong,
Yunchao Wei,
Thomas S. Huang,
2020,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Xin Liu,
Daniel George,
Daniel S. Katz,
2019,
ArXiv.
Jinjun Xiong,
Rakesh Nagi,
Vikram S. Mailthody,
2019,
2019 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Cheng Li,
I-Hsin Chung,
2019,
ICPE.
Jinjun Xiong,
Martin Margala,
Wen-Mei Hwu,
2020,
2020 27th IEEE International Conference on Electronics, Circuits and Systems (ICECS).
Jinjun Xiong,
Jeanne Paulette Bickford,
2013,
ASMC 2013 SEMI Advanced Semiconductor Manufacturing Conference.
Jinjun Xiong,
Bike Xie,
Jian Zhuang,
2020,
2020 IEEE 17th International Symposium on Biomedical Imaging (ISBI).
Jinjun Xiong,
Rakesh Nagi,
Vikram S. Mailthody,
2018,
2018 IEEE High Performance extreme Computing Conference (HPEC).
Jinjun Xiong,
Vladimir Zolotov,
Chandu Visweswariah,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Kai Wang,
Wen-mei Hwu,
2020,
ArXiv.
Jinjun Xiong,
Huamin Chen,
Jinjun Xiong,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jinjun Xiong,
Yiyu Shi,
Lei He,
2009,
2009 Asia and South Pacific Design Automation Conference.
Jinjun Xiong,
Lei He,
Jinjun Xiong,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Suma Bhat,
Hongyu Gong,
2018,
ACL.
Jinjun Xiong,
David E. Lackey,
Vladimir Zolotov,
2007,
ICCAD 2007.
Jinjun Xiong,
Yiyu Shi,
Lei He,
2008,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Can Quantum Computers Learn Like Classical Computers? A Co-Design Framework for Machine Learning and Quantum Circuits
pdf
Jinjun Xiong,
Weiwen Jiang,
Yiyu Shi,
2020,
ArXiv.
Jinjun Xiong,
Yiyu Shi,
2011,
2011 IEEE International Conference on Smart Grid Communications (SmartGridComm).
Jinjun Xiong,
Yunchao Wei,
Thomas S. Huang,
2018
.
Jinjun Xiong,
I-Hsin Chung,
Carl Pearson,
2020,
ArXiv.
Jinjun Xiong,
Nam Sung Kim,
Deming Chen,
2020,
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Jinjun Xiong,
Wangyang Zhang,
Amith Singhee,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jinjun Xiong,
Minh N. Do,
Rogério Schmidt Feris,
2018,
2019 IEEE/CVF International Conference on Computer Vision (ICCV).
Jinjun Xiong,
Yiyu Shi,
Lei He,
2009,
2009 Asia and South Pacific Design Automation Conference.
TS2C: Tight Box Mining with Surrounding Segmentation Context for Weakly Supervised Object Detection
pdf
Jinjun Xiong,
Yunchao Wei,
Zhiqiang Shen,
2018,
ECCV.
Jinjun Xiong,
Rakesh Nagi,
Wen-Mei Hwu,
2021,
2021 IEEE High Performance Extreme Computing Conference (HPEC).
Jinjun Xiong,
Fuxun Yu,
Zirui Xu,
2021,
2021 58th ACM/IEEE Design Automation Conference (DAC).
Jinjun Xiong,
Deming Chen,
Wen-mei W. Hwu,
2021,
IEEE Transactions on Parallel and Distributed Systems.
Jinjun Xiong,
Yiyu Shi,
Shanglin Zhou,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jinjun Xiong,
Seung Won Min,
Deming Chen,
2021,
Proc. VLDB Endow..
Jinjun Xiong,
I-Hsin Chung,
Wen-Mei Hwu,
2021,
HPDC.
Jinjun Xiong,
Volodymyr Kindratenko,
Deming Chen,
2021,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jinjun Xiong,
Himchan Park,
Min-Soo Kim,
2021,
2021 IEEE 37th International Conference on Data Engineering (ICDE).
Jinjun Xiong,
Lei Yang,
Weiwen Jiang,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jinjun Xiong,
Vladimir Zolotov,
Vikram Iyengar,
2008
.
Jinjun Xiong,
Andrew B. Kahng,
Lei He,
2004
.
Jinjun Xiong,
Jaeyong Chung,
J. A. Abraham,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.