Elham Safi

发表

Zainalabedin Navabi, Zohreh Karimi, Elham Safi, 2003, VLSI-SOC.

Andreas G. Veneris, Andreas Moshovos, Elham Safi, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andreas G. Veneris, Andreas Moshovos, Elham Safi, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andreas G. Veneris, Andreas Moshovos, Elham Safi, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Andreas G. Veneris, Andreas Moshovos, Elham Safi, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andreas Moshovos, Jason Zebchuk, Elham Safi, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Andreas G. Veneris, Andreas Moshovos, Elham Safi, 2009, 2009 International Symposium on Systems, Architectures, Modeling, and Simulation.

Andreas Veneris, Andreas Moshovos, Elham Safi, 2008, IEEE Trans. Very Large Scale Integr. Syst..

Maghsoud Abbaspour, Zainalabedin Navabi, Zohreh Karimi, 2003, Proceedings. 4th International Workshop on Microprocessor Test and Verification - Common Challenges and Solutions.

Angela Arapoyanni, Andreas G. Veneris, Andreas Moshovos, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).