Junlin Xiong

发表

James Lam, Junlin Xiong, Huijun Gao, 2016, Autom..

Junlin Xiong, Lanlin Yu, J. Xiong, 2017, Int. J. Syst. Sci..

Baoqun Yin, Junlin Xiong, Di Deng, 2019, 2019 Chinese Automation Congress (CAC).

Junlin Xiong, Wei Ren, Tao Yu, 2020, 2020 American Control Conference (ACC).

Junlin Xiong, Wei Wang, Min Xie, 2015, Int. J. Gen. Syst..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2010, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Xiaodong Cheng, Junlin Xiong, Jacquelien M.A. Scherpen, 2019, 2019 IEEE 58th Conference on Decision and Control (CDC).

Junlin Xiong, Wei Wang, Min Xie, 2017, IEEE Transactions on Automation Science and Engineering.

Junlin Xiong, Wei Ren, W. Ren, 2017, 2017 11th Asian Control Conference (ASCC).

Junlin Xiong, Mei Liu, J. Xiong, 2016, 2016 IEEE 55th Conference on Decision and Control (CDC).

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Min Xie, Zhiying Wu, 2021, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Junlin Xiong, Renquan Lu, Yong Xu, 2020, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Junlin Xiong, Yang Zhang, Yuan Wang, 2018, 2018 IEEE CSAA Guidance, Navigation and Control Conference (CGNCC).

Junlin Xiong, Lanlin Yu, J. Xiong, 2018, 2018 15th International Conference on Control, Automation, Robotics and Vision (ICARCV).

Junlin Xiong, Mei Liu, J. Xiong, 2015, Int. J. Control.

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2009, 2009 American Control Conference.

Junlin Xiong, Mei Liu, J. Xiong, 2016, Syst. Control. Lett..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2010, IEEE Transactions on Automatic Control.

Junlin Xiong, Ning Jiang, 2014, 2014 American Control Conference.

Junlin Xiong, Lanlin Yu, J. Xiong, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Junlin Xiong, Wei Ren, W. Ren, 2017, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Wang, Yaofeng Xu, 2017, 2017 Second International Conference on Reliability Systems Engineering (ICRSE).

James Lam, Junlin Xiong, J. Lam, 2009, IEEE Transactions on Automatic Control.

Junlin Xiong, Wei Ren, W. Ren, 2021, IEEE Control Systems Letters.

James Lam, Junlin Xiong, Xuerong Mao, 2013, IEEE Transactions on Automatic Control.

Yan Wang, Junlin Xiong, J. Xiong, 2020, IEEE Transactions on Cybernetics.

Tao Yu, Junlin Xiong, Tao Yu, 2020, IEEE Transactions on Control of Network Systems.

Junlin Xiong, Min Xie, Wei Wang, 2013, 2013 Chinese Automation Congress.

Junlin Xiong, Zhaowu Yin, J. Xiong, 2018, 2018 15th International Conference on Control, Automation, Robotics and Vision (ICARCV).

Guilin Zhuansun, Junlin Xiong, J. Xiong, 2012, Proceedings of the 31st Chinese Control Conference.

Junlin Xiong, Yan Wang, Ya Zhang, 2017, 2017 IEEE 56th Annual Conference on Decision and Control (CDC).

Junlin Xiong, Lanlin Yu, 2020, Int. J. Control.

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2015, 2015 54th IEEE Conference on Decision and Control (CDC).

James Lam, Ian R. Petersen, Junlin Xiong, 2016, Autom..

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2009, IEEE Transactions on Automatic Control.

Junlin Xiong, Mei Liu, J. Xiong, 2018, IEEE Transactions on Automatic Control.

Shan Ma, Junlin Xiong, J. Xiong, 2012, 2012 12th International Conference on Control Automation Robotics & Vision (ICARCV).

Ian R. Petersen, Junlin Xiong, Valery A. Ugrinovskii, 2008, 2008 47th IEEE Conference on Decision and Control.

Junlin Xiong, Yang Zhang, Yuan Wang, 2018, 2018 IEEE CSAA Guidance, Navigation and Control Conference (CGNCC).

Junlin Xiong, Shengyu Zhai, J. Xiong, 2018, Int. J. Syst. Sci..

Junlin Xiong, Wei Ren, W. Ren, 2018, IEEE Transactions on Automatic Control.

Junlin Xiong, Yang Zhang, Yuan Wang, 2019, 2019 3rd International Conference on Electronic Information Technology and Computer Engineering (EITCE).

James Lam, Junlin Xiong, Ning Jiang, 2016, Int. J. Syst. Sci..

Junlin Xiong, Wei Ren, J. Xiong, 2018, 2018 IEEE Conference on Decision and Control (CDC).

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2016, IEEE Transactions on Automatic Control.

James Lam, Junlin Xiong, Zhan Shu, 2009, IEEE Transactions on Automatic Control.

Junlin Xiong, Huadong Mo, Zhiying Wu, 2018, 2018 IEEE International Conference on Industrial Engineering and Engineering Management (IEEM).

Junlin Xiong, Wei Ren, W. Ren, 2019, IEEE Transactions on Automatic Control.

Junlin Xiong, Lanlin Yu, J. Xiong, 2017, 2017 IEEE 56th Annual Conference on Decision and Control (CDC).

James Lam, Junlin Xiong, J. Lam, 2009, Int. J. Syst. Sci..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2009, 2009 American Control Conference.

Junlin Xiong, Wei Ren, W. Ren, 2016, Systems & control letters (Print).

James Lam, Junlin Xiong, Zhan Shu, 2012, 2012 IEEE 51st IEEE Conference on Decision and Control (CDC).

Junlin Xiong, Lanlin Yu, J. Xiong, 2019, J. Frankl. Inst..

Junlin Xiong, Wei Wang, Zhiying Wu, 2018, Reliab. Eng. Syst. Saf..

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2009, 2009 7th Asian Control Conference.

Junlin Xiong, Yan Wang, Wei Ren, 2018, Int. J. Control.

Junlin Xiong, Wei Ren, W. Ren, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Junlin Xiong, Yan Wang, J. Xiong, 2017, 2017 32nd Youth Academic Annual Conference of Chinese Association of Automation (YAC).

Junlin Xiong, Yuan Wang, Yang Zhang, 2019, 2019 IEEE 3rd Advanced Information Management, Communicates, Electronic and Automation Control Conference (IMCEC).

Junlin Xiong, Jun Shi, Qiang Zhang, 2021, International Symposium on Precision Mechanical Measurements.

Junlin Xiong, Wei Ren, Tao Yu, 2021, 2021 American Control Conference (ACC).

Junlin Xiong, Wei Ren, W. Ren, 2019, International Journal of Robust and Nonlinear Control.

Ian R. Petersen, Junlin Xiong, Alexander Lanzon, 2010, ACC.