Praveen Yedlapalli

发表

Mahmut T. Kandemir, Chita R. Das, Anand Sivasubramaniam, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Mahmut T. Kandemir, Yuanrui Zhang, Wei Ding, 2013, Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Mahmut T. Kandemir, Jagadish Kotra, Xulong Tang, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mahmut T. Kandemir, Chita R. Das, Wei Ding, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Mahmut T. Kandemir, Chita R. Das, Anand Sivasubramaniam, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Praveen Yedlapalli, Praveen Yedlapalli, 2015 .