Arvind

发表

Zena M. Ariola, Arvind, Z. M. Ariola, 1991, LCPC.

Arvind, Wil Plouffe, Kim P. Gostelow, 1977, SOSP '77.

Arvind, Nirav Dave, Man Cheuk Ng, 2005, Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2005. MEMOCODE '05..

Arvind, Robert A. Iannucci, Bob Iannucci, 1983, ISCA '83.

Sizhuo Zhang, Arvind, Muralidaran Vijayaraghavan, 2016, ArXiv.

Andrew Shaw, John Marshall, Arvind, 1998, J. Parallel Distributed Comput..

Zena M. Ariola, Arvind, 1991, PEPM.

Arvind, 2010, HPCA.

Arvind, Joseph E. Stoy, Shail Aditya, 1995, FPCA '95.

Robert K. Cunningham, Regina Barzilay, Daniela Rus, 2016 .

Sungjin Lee, Arvind, Chanwoo Chung, 2019, ASPLOS.

Arvind, Muralidaran Vijayaraghavan, Nirav Dave, 2007, 2007 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE 2007).

Sizhuo Zhang, Arvind, Andy Wright, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Sungjin Lee, Arvind, Chanwoo Chung, 2017, HotStorage.

Arvind, Nirav Dave, Man Cheuk Ng, 2010, Eighth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010).

Arvind, Kermin Fleming, Michael Adler, 2012, FPGA '12.

James C. Hoe, Arvind, J. Hoe, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Hari Balakrishnan, Arvind, Mythili Vutukuru, 2010, 2010 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS).

David E. Culler, Arvind, D. Culler, 1986 .

Arvind, Kim P. Gostelow, K. Gostelow, 1977, IFIP Congress.

Arvind, Kermin Fleming, Man Cheuk Ng, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Ming Liu, Arvind, Sang Woo Jun, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Arvind, Muralidaran Vijayaraghavan, Michal Karczmarek, 2014, 2014 Twelfth ACM/IEEE Conference on Formal Methods and Models for Codesign (MEMOCODE).

Arvind, Amandeep Singh, Kavita Dorai, 2018, Quantum Information Processing.

Zena M. Ariola, Arvind, 1989, FPCA.

Arvind, Muralidaran Vijayaraghavan, 2009, 2009 7th IEEE/ACM International Conference on Formal Methods and Models for Co-Design.

Ryan Newton, Matt Welsh, Arvind, 2005, IPSN 2005. Fourth International Symposium on Information Processing in Sensor Networks, 2005..

Arvind, Michael Katelman, Nirav Dave, 2008, FM.

Arvind, Rishiyur S. Nikhil, R. Nikhil, 1990, IEEE Trans. Computers.

Arvind, Rishiyur S. Nikhil, 2008, SIGD.

Tilak Agerwala, Arvind, T. Agerwala, 1982, Computer.

Adam Chlipala, Arvind, Thomas Bourgeat, 2020, PLDI.

Arvind, Kim P. Gostelow, K. Gostelow, 1977, Formal Description of Programming Concepts.

Larry Rudolph, Derek Chiou, Arvind, 1998, Proceedings. 1998 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.98EX192).

Arvind, Abhinav Agarwal, Man Cheuk Ng, 2010, IEEE Embedded Systems Letters.

James C. Hoe, Derek Chiou, Arvind, 1995, Euro-Par.

Joel Emer, Arvind, Michael Pellauer, 2011 .

Arvind, Nirav Dave, Michael Pellauer, 2006, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings..

Arvind, Rishiyur S. Nikhil, Jan-Willem Maessen, 1996, FSTTCS.

Arvind, Muralidaran Vijayaraghavan, Nirav Dave, 2013, 2013 Eleventh ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2013).

Sizhuo Zhang, Andrew Wright, Arvind, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Arvind, Richard Y. Kain, E. Sadeh, 1973, SOSP '73.

Sizhuo Zhang, Arvind, Muralidaran Vijayaraghavan, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Sizhuo Zhang, Andrew Wright, Arvind, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Sizhuo Zhang, Arvind, Muralidaran Vijayaraghavan, 2017, ArXiv.

Arvind, Nirav Dave, Michael Pellauer, 2007, 2007 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE 2007).

Arvind, Rishiyur S. Nikhil, Nirav Dave, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Xiaowei Shen, Arvind, Joseph E. Stoy, 2001, FME.

John Wawrzynek, Derek Chiou, Mark Oskin, 2006, 2006 IEEE Hot Chips 18 Symposium (HCS).

David E. Culler, Arvind, D. Culler, 1986 .

Arvind, Robert A. Iannucci, Bob Iannucci, 1987, Parallel Computing in Science and Engineering.

Adam Chlipala, Arvind, Joonwon Choi, 2017, Proc. ACM Program. Lang..

James C. Hoe, Arvind, J. Hoe, 1999, VLSI.

Sungjin Lee, Ming Liu, Arvind, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Keshav Pingali, Arvind, 1983 .

Arvind, Daniel L. Rosenband, 2004, Proceedings. 41st Design Automation Conference, 2004..

Keshav Pingali, Arvind, K. Pingali, 1985, TOPL.

Chun-Chieh Lin, Arvind, Kermin Fleming, 2008, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design.

Xiaowei Shen, Arvind, Xiaowei Shen, 1999, IEEE Micro.

Sungjin Lee, Ming Liu, Arvind, 2016, Proc. VLDB Endow..

Arvind, Michal Karczmarek, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Sizhuo Zhang, Daniel Sánchez, Andrew Wright, 2016, ArXiv.

Arvind, Rishiyur S. Nikhil, Paul S. Barth, 1991, FPCA.

Adam Chlipala, Arvind, Muralidaran Vijayaraghavan, 2015, CAV.

Andrew Shaw, Arvind, R. Paul Johnson, 1996, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique.

Arvind, R. S. Nikhil, G. M. Papadopoulos, 1992, ISCA '92.

Arvind, J. Dean Brock, J. D. Brock, 1984, J. Parallel Distributed Comput..

Derek Chiou, Arvind, James E. Hicks, 1993, J. Parallel Distributed Comput..

Larry Rudolph, Derek Chiou, Arvind, 1998, Proceedings. Fifth International Conference on High Performance Computing (Cat. No. 98EX238).

Arvind, Rishiyur S. Nikhil, R. Nikhil, 1987, IEEE Trans. Computers.

Vijay Gadepally, Arvind, Huy T. Nguyen, 2016, 2016 IEEE High Performance Extreme Computing Conference (HPEC).

Lawrence Snyder, Howard Jay Siegel, Robert M. Keller, 1984 .

David E. Culler, Arvind, D. Culler, 1988, [1988] The 15th Annual International Symposium on Computer Architecture. Conference Proceedings.

Zena M. Ariola, Arvind, 1995, Theor. Comput. Sci..

Anantha Chandrakasan, Andrew Wright, Arvind, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Arvind, Jamey Hicks, 2006, IEEE Intelligent Systems.

Arvind, Harpreet Singh, Kavita Dorai, 2017, Quantum Information Processing.

Arvind, Rishiyur S. Nikhil, 2001 .

Arvind, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Arvind, D. E. Culler, D. Culler, 1983 .

Arvind, Nirav Dave, Myron King, 2012, ASPLOS XVII.

Arvind, Abhinav Agarwal, Abhinav Agarwal, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Srinivas Devadas, Sizhuo Zhang, Andrew Wright, 2018, MICRO.

Arvind, Jan-Willem Maessen, Jan-Willem Maessen, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Larry Rudolph, Derek Chiou, Arvind, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Keshav Pingali, Arvind, Rishiyur S. Nikhil, 1986, Graph Reduction.

Keshav Pingali, Arvind, Rishiyur S. Nikhil, 1986, Graph Reduction.

Arvind, G.M. Papadopoulos, 1984, 1984 American Control Conference.

Arvind, Michael Adler, Joel S. Emer, 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

Arvind, Nirav Dave, Daniel L. Rosenband, 2004, ICCAD 2004.

Xiaowei Shen, Arvind, Jan-Willem Maessen, 2000, OOPSLA '00.

Xiaowei Shen, Arvind, Xiaowei Shen, 2000 .

Arvind, James Edward Hicks, James E. Hicks, 1992 .

Arvind, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Sungjin Lee, Jihong Kim, Jihoon Park, 2011, IEEE Transactions on Consumer Electronics.

Arvind, J. Dean Brock, J. D. Brock, 1980, Operating Systems Engineering.

Sizhuo Zhang, Arvind, Andy Wright, 2017, ArXiv.

Keshav Pingali, Arvind, 1986, ACM Trans. Program. Lang. Syst..

Sungjin Lee, Ming Liu, Arvind, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Keshav Pingali, Arvind, 1983 .

Arvind, Asif Khan, Muralidaran Vijayaraghavan, 2012, Tenth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMCODE2012).

David E. Culler, Arvind, Kattamuri Ekanadham, 1987 .

Arvind, Chanwoo Chung, Sang Woo Jun, 2015, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig).

Arvind, 2013, FPCDSL '13.

José Meseguer, Arvind, Michael Katelman, 2011, Ninth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMPCODE2011).

Arvind, Rishiyur S. Nikhil, Gregory M. Papadopoulos, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Arvind, Kim P. Gostelow, K. Gostelow, 1982, Computer.

Oriol Arcas, Arvind, Abhinav Agarwal, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Arvind, Rishiyur S. Nikhil, R. Nikhil, 2008, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design.

Alejandro Caro, Arvind, Anton T. Dahbura, 2000, IEEE Micro.

Rajesh Gupta, Arvind, Forrest Brewer, 2008, IEEE Design & Test of Computers.

Arvind, Jan-Willem Maessen, 2007, Electron. Notes Theor. Comput. Sci..

Srinivas Devadas, Hari Balakrishnan, Arvind, 2004, IEEE INFOCOM 2004.

Arvind, Vikesh Siddhu, Arvind, 2014, Quantum Inf. Process..

Jihong Kim, Sungjin Lee, Ming Liu, 2016, FAST.

Sungjin Lee, Ming Liu, Arvind, 2016, ACM Trans. Comput. Syst..

Arvind, Kattamuri Ekanadham, K. Ekanadham, 1988, J. Parallel Distributed Comput..

Ming Liu, Arvind, Kermin Fleming, 2014, FPGA.

Arvind, Ram Ratan, Arvind, 2018, Communications in Computer and Information Science.

Larry Rudolph, Derek Chiou, Arvind, 1998, Proceedings of the IEEE/ACM SC98 Conference.

Arvind, Thomas Bourgeat, Chanwoo Chung, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Arvind, Sungjin Lee, Chanwoo Chung, 2021, ACM Trans. Storage.

Arvind, Arvind, Kattamuri Ekanadham, 1988, J. Parallel Distributed Comput..

Silas Boyd-Wickizer, Arvind, Arvind, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Arvind, Katti Karthik, Suresh, 1999 .

Arvind, Arvind, Stephen Brobst, 1993, Int. J. High Speed Comput..

Arvind, Introduction, Z M Ariola, 1993 .

Arvind, Rishiyur S. Nikhil, 2009, SIGD.

Arvind, Xuhao Chen, Mit Csail, 2021, OSDI.

Zena M. Ariola, Arvind, Z. M. Ariola, 1991, PEPM '91.

Arvind, Z. M. Ariola, 1991, PEPM '91.

Arvind, Arvind, Sang Woo Jun, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Anantha Chandrakasan, Arvind, Arvind, 2019, Nature.

Sungjin Lee, Arvind, Arvind, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

James C. Hoe, Arvind, Arvind, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Arvind, Arvind, Rishiyur S. Nikhil, 1988 .

Sungjin Lee, Arvind, Arvind, 2020, USENIX Annual Technical Conference.

Omid Salehi-Abari, Dina Katabi, Arvind, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Arvind, S. Thiyagarajan, A. M. Arjun, 2011 .

Arvind, J. Hoe, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Arvind, L. Rudolph, Xiaowei Shen, 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).