K. Roy

发表

Priyadarshini Panda, Kaushik Roy, Deboleena Roy, 2018, Neural Networks.

Kaushik Roy, Priyadarshini Panda, Akhilesh Jaiswal, 2019, Nature.

Kaushik Roy, Abhronil Sengupta, Yong Shim, 2018, Journal of Applied Physics.

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2007, TODE.

Kaushik Roy, Swarup Bhunia, Arijit Raychowdhury, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

S. Bhunia, A. Raychowdhury, Swaroop Ghosh, 2005, European Test Symposium (ETS'05).

Kaushik Roy, Kon-Woo Kwon, Sri Harsha Choday, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kaushik Roy, Mark S. Lundstrom, Sri Harsha Choday, 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Kaushik Roy, Sri Harsha Choday, S. H. Choday, 2013 .

K. Roy, V. Raghunathan, S. H. Choday, 2013, 29th IEEE Semiconductor Thermal Measurement and Management Symposium.

Kaushik Roy, Priyadarshini Panda, Syed Shakib Sarwar, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Jacob A. Abraham, Marc E. Levitt, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Saibal Mukhopadhyay, Kaushik Roy, Hamid Mahmoodi-Meimand, 2003, Proc. IEEE.

Kaushik Roy, Swarup Bhunia, Arijit Raychowdhury, 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2005, 14th Asian Test Symposium (ATS'05).

Kai Wang, Yoav Kalcheim, Ivan K. Schuller, 2020 .

Kaushik Roy, K. Roy, Ankit Sharma, 2017, IEEE Electron Device Letters.

Kaushik Roy, Akhilesh Jaiswal, Mustafa F. Ali, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Gobinda Saha, Isha Garg, 2021, ICLR.

P. Panda, Deboleena Roy, K. Roy, 2018, ArXiv.

Gopalakrishnan Srinivasan, Priyadarshini Panda, Chankyu Lee, 2019, IEEE Transactions on Cognitive and Developmental Systems.

Kaushik Roy, Abhronil Sengupta, Robert Wang, 2018, Front. Neurosci..

Kaushik Roy, Priyadarshini Panda, Abhronil Sengupta, 2015, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

K. Roy, J.P. Kulkarni, K. Kim, 2007, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Sumeet Kumar Gupta, Sang Phill Park, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Patrick Ndai, Ashish Goel, Jing Li, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2012, DAC Design Automation Conference 2012.

Kaushik Roy, Anand Raghunathan, Vaibhav Gupta, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Kaushik Roy, Anand Raghunathan, Vaibhav Gupta, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Babak Falsafi, T. N. Vijaykumar, 2000, ISLPED '00.

K. Roy, I. Chakraborty, Cheng Wang, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Georgios Ch. Sirakoulis, Kaushik Roy, Alex James, 2019, 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS).

B.C. Paul, K. Roy, A. Bansal, 2005, IEEE Transactions on Electron Devices.

Kaushik Roy, Sayeed Shafayet Chowdhury, Nitin Rathi, 2021, ArXiv.

Kaushik Roy, Dongsoo Lee, K. Roy, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Anand Raghunathan, Sumeet Kumar Gupta, 2012, DAC Design Automation Conference 2012.

Kaushik Roy, Dongsoo Lee, Jae-Joon Kim, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Dongsoo Lee, Jae-Joon Kim, Sang Phill Park, 2011, ISLPED 2011.

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, 14th Asian Test Symposium (ATS'05).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, 11th IEEE International On-Line Testing Symposium.

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, Sixth international symposium on quality electronic design (isqed'05).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, Design, Automation and Test in Europe.

Kaushik Roy, Amit Agarwal, Bipul Chandra Paul, 2004, Proceedings. 10th IEEE International On-Line Testing Symposium.

T. N. Vijaykumar, A. Agarwal, K. Roy, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

K. Roy, Hai Li, A. Agarwal, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Kaushik Roy, Amit Agarwal, Animesh Datta, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Bipul C. Paul, B. Paul, 2006, J. Electron. Test..

Kaushik Roy, Hunsoo Choo, Khurram Muhammad, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kaushik Roy, Khurram Muhammad, K. Muhammad, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kaushik Roy, Khurram Muhammad, K. Roy, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Mark C. Johnson, Kaushik Roy, Dinesh Somasekhar, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dejan S. Milojicic, Kaushik Roy, Paolo Faraboschi, 2019, ASPLOS.

Dejan S. Milojicic, Kaushik Roy, Paolo Faraboschi, 2018, 2018 IEEE International Conference on Rebooting Computing (ICRC).

Indranil Chakraborty, Kaushik Roy, Deboleena Roy, 2017, IEEE Transactions on Emerging Topics in Computational Intelligence.

Kaushik Roy, Priyadarshini Panda, Gopalakrishnan Srinivasan, 2020, 2020 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Chankyu Lee, Syed Shakib Sarwar, 2019, Frontiers in Neuroscience.

Kaushik Roy, Gopalakrishnan Srinivasan, Priyadarshini Panda, 2018, ACM J. Emerg. Technol. Comput. Syst..

Kaushik Roy, Abhronil Sengupta, Bing Han, 2018, IEEE Transactions on Multi-Scale Computing Systems.

Gopalakrishnan Srinivasan, Priyadarshini Panda, Kaushik Roy, 2018, Front. Neurosci..

Parami Wijesinghe, Kaushik Roy, Abhronil Sengupta, 2017, IEEE Transactions on Emerging Topics in Computational Intelligence.

Kaushik Roy, Gopalakrishnan Srinivasan, Priyadarshini Panda, 2017, ArXiv.

Kaushik Roy, Priyadarshini Panda, K. Roy, 2016, 2016 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Gopalakrishnan Srinivasan, Priyadarshini Panda, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Parami Wijesinghe, Priyadarshini Panda, 2015, Scientific Reports.

Priyadarshini Panda, Chankyu Lee, Kaushik Roy, 2019, 2019 International Joint Conference on Neural Networks (IJCNN).

Pedro P. Irazoqui, Kaushik Roy, Shriram Raghunathan, 2010, Journal of Neuroscience Methods.

K. Roy, Z. Al Azim, Mei-Chin Chen, 2017, IEEE Transactions on Magnetics.

A. Raghunathan, Sarada Krithivasan, Nitin Rathi, 2022, DAC.

Kaushik Roy, Abhronil Sengupta, Yong Shim, 2018, IEEE Transactions on Electron Devices.

Kaushik Roy, Mustafa Fayez Ali, Aayush Ankit, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Sharat Prasad, S. Prasad, 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

Kaushik Roy, Anand Raghunathan, Swagath Venkataramani, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kang L. Wang, K. Roy, Hyunsoo Yang, 2021, IEEE Transactions on Magnetics.

Younghoon Kim, Kaushik Roy, Anand Raghunathan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaushik Roy, Georgios Karakonstantis, G. Karakonstantis, 2011, 2011 20th European Conference on Circuit Theory and Design (ECCTD).

Xuanyao Fong, Kaushik Roy, Xuanyao Fong, 2013, 2013 5th IEEE International Memory Workshop.

Kaushik Roy, Jing Li, Kunhyuk Kang, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chih-Hsiang Ho, K. Roy, G. Panagopoulos, 2013, IEEE Transactions on Electron Devices.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2012, 2012 25th International Conference on VLSI Design.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

C. Liyanagedera, Parami Wijesinghe, K. Roy, 2018, Scientific Reports.

K. Roy, C. Augustine, G. Panagopoulos, 2012, Device Research Conference.

Kaushik Roy, Anand Raghunathan, Xuanyao Fong, 2016, Proceedings of the IEEE.

Xuanyao Fong, Kaushik Roy, Mei-Chin Chen, 2015, IEEE Transactions on Electron Devices.

Kaushik Roy, Karthik Yogendra, Anand Raghunathan, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Abhronil Sengupta, Yong Shim, 2015, IEEE Transactions on Biomedical Circuits and Systems.

Kaushik Roy, Mrigank Sharad, Deliang Fan, 2014, IEEE Transactions on Neural Networks and Learning Systems.

Xuanyao Fong, Kaushik Roy, Charles Augustine, 2011, 2011 International Conference on Simulation of Semiconductor Processes and Devices.

M. Ge, Hanfei Yan, K. Roy, 2020, Nature Communications.

Kaushik Roy, Mesut Meterelliyoz, Qikai Chen, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Kaushik Roy, Mark M. Budnik, M. Budnik, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Yiran Chen, Kaushik Roy, Dongku Kang, 2005, Sixth international symposium on quality electronic design (isqed'05).

Kaushik Roy, Shreyas Sen, Priyadarshini Panda, 2017, 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Mark C. Johnson, Kaushik Roy, Dongku Kang, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Mark C. Johnson, Kaushik Roy, Dongku Kang, 2003, Proceedings 21st International Conference on Computer Design.

Mark C. Johnson, Kaushik Roy, K. Roy, 1997, TODE.

Kaushik Roy, Nitin Rathi, K. Roy, 2021, IEEE Transactions on Emerging Topics in Computational Intelligence.

Kaushik Roy, Liqiong Wei, Zhanping Chen, 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

Kaushik Roy, Hendrawan Soeleman, Dinesh Somasekhar, 1998, Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).

Kaushik Roy, Khurram Muhammad, K. Muhammad, 2001, IEEE Des. Test Comput..

Kaushik Roy, Hendrawan Soeleman, Dinesh Somasekhar, 1997 .

Kaushik Roy, Parami Wijesinghe, Chamika M. Liyanagedera, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kaushik Roy, Anand Raghunathan, Debabrata Mohapatra, 2011, 2011 Design, Automation & Test in Europe.

Kaushik Roy, Debabrata Mohapatra, Ik Joon Chang, 2011, IEEE Transactions on Circuits and Systems for Video Technology.

Kaushik Roy, Jongsun Park, Ik Joon Chang, 2010, IET Circuits Devices Syst..

Kaushik Roy, Dmitri E. Nikonov, Charles Augustine, 2010, 2010 Symposium on VLSI Technology.

Kaushik Roy, Elena I. Vatajelu, Georgios Panagopoulos, 2010, 2010 15th IEEE European Test Symposium.

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Kaushik Roy, Swaroop Ghosh, Pooja Batra, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Kaushik Roy, Patrick Ndai, Shih-Lien Lu, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

S. Mukhopadhyay, H. Mahmoodi-Meimand, K. Roy, 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

Kaushik Roy, Hendrawan Soeleman, Chris Hyung-Il Kim, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Yonghee Im, K. Roy, 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

K. Roy, C. Kim, K. Roy, 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

Kaushik Roy, T. N. Vijaykumar, Se-Hyun Yang, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Hamid Mahmoodi, Matthew Cooke, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Babak Falsafi, T. N. Vijaykumar, 2001 .

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Xuanyao Fong, Yusung Kim, 2015, IEEE Magnetics Letters.

Wolfgang Porod, Kaushik Roy, Minsuk Koo, 2020, Physical Review Applied.

Kaushik Roy, Bing Han, Abhronil Sengupta, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kaushik Roy, Cheng-Kok Koh, Shiyou Zhao, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Kaushik Roy, Robert M Worth, Shriram Raghunathan, 2009, Journal of neural engineering.

Kaushik Roy, Chamika M. Liyanagedera, Minsuk Koo, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Mrigank Sharad, Xuanyao Fong, 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, Proceedings. 42nd Design Automation Conference, 2005..

K. Roy, Nitin Rathi, K. Roy, 2021, IEEE Transactions on Neural Networks and Learning Systems.

Robert Andrawis, Kaushik Roy, Akhilesh Jaiswal, 2018, IEEE Transactions on Electron Devices.

Kaushik Roy, Akhilesh Jaiswal, Yong Shim, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Kaushik Roy, Abhronil Sengupta, K. Roy, 2015, 2015 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Abhronil Sengupta, Yusung Kim, 2014, ArXiv.

Xuanyao Fong, K. Roy, 2013, 2013 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

K. Roy, N. Mojumder, C. Augustine, 2010, 2010 18th Biennial University/Government/Industry Micro/Nano Symposium.

Kaushik Roy, Amit Agarwal, Chris H. Kim, 2003, IEEE Trans. Very Large Scale Integr. Syst..

K. Roy, Bing Han, G. Srinivasan, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

K. Roy, M. Sharad, Deliang Fan, 2015, IEEE Transactions on Nanotechnology.

Kaushik Roy, Maryam Parsa, Aayush Ankit, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kaushik Roy, Amogh Agrawal, Tanvi Sharma, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Amogh Agrawal, Eunseon Yu, 2021, Frontiers in Neuroscience.

Kaushik Roy, Indranil Chakraborty, Anand Raghunathan, 2020, Proceedings of the IEEE.

Kaushik Roy, Mei-Chin Chen, Abhronil Sengupta, 2018, IEEE Transactions on Magnetics.

Kaushik Roy, Yeongkyo Seo, K. Roy, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Arnab Raha, Vijay Raghunathan, Kaushik Roy, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

K. Roy, Abhronil Sengupta, B. Jung, 2017, Scientific Reports.

Kaushik Roy, Shuhan Chen, Abhronil Sengupta, 2017, Scientific Reports.

Kaushik Roy, Zubair Azim, Z. Azim, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kaushik Roy, Anand Raghunathan, Sachin S. Sapatnekar, 2017, DAC.

Kaushik Roy, Parami Wijesinghe, Chamika M. Liyanagedera, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

K. Roy, Mei-Chin Chen, K. Roy, 2017, 1705.01095.

Abhronil Sengupta, Kaushik Roy, K. Roy, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Yeongkyo Seo, Kon-Woo Kwon, 2016, IEEE Electron Device Letters.

Kaushik Roy, Xuanyao Fong, Yeongkyo Seo, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kaushik Roy, Xuanyao Fong, Ahmed Kamal Reza, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Syed Shakib Sarwar, Abhronil Sengupta, 2015, IEEE Transactions on Electron Devices.

Kaushik Roy, Xuanyao Fong, Abhronil Sengupta, 2014, ArXiv.

Xuanyao Fong, Kaushik Roy, Yusung Kim, 2014, IEEE Electron Device Letters.

S. H. Choday, Yusung Kim, K. Roy, 2013, IEEE Electron Device Letters.

Xuanyao Fong, Kaushik Roy, Xuanyao Fong, 2017 .

Kaushik Roy, Zubair Al Azim, Ankit Sharma, 2017, IEEE Magnetics Letters.

Kaushik Roy, Akhilesh Jaiswal, Amogh Agrawal, 2017, IEEE Transactions on Electron Devices.

Kaushik Roy, Khurram Muhammad, Yongtao Wang, 2007, IEEE Transactions on Signal Processing.

Kaushik Roy, Khurram Muhammad, Yongtao Wang, 2005, Proceedings. (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005..

Kaushik Roy, Rajiv V. Joshi, Ching-Te Chuang, 2007, Microelectron. J..

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Rajiv V. Joshi, Ching-Te Chuang, 2005, Sixth international symposium on quality electronic design (isqed'05).

Kaushik Roy, Anand Raghunathan, A. Raghunathan, 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

Kaushik Roy, Amogh Agrawal, Sangamesh Kodge, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kaushik Roy, Akhilesh Jaiswal, Amogh Agrawal, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Xuanyao Fong, Kaushik Roy, Dongsoo Lee, 2013, IEEE Electron Device Letters.

Kaushik Roy, Yuchao Yang, Alberto Salleo, 2020, Nanotechnology.

Kaushik Roy, Indranil Chakraborty, Akhilesh Jaiswal, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Kaushik Roy, Deepak Ravikumar, Sangamesh Kodge, 2020, IEEE Transactions on Artificial Intelligence.

K. Roy, V.K. De, A. Raychowdhury, 2009, IEEE Transactions on Electron Devices.

J. Kulkarni, C. Augustine, B. Jung, 2010, IEEE Transactions on Magnetics.

B.C. Paul, K. Roy, M. Alam, 2007, 2007 14th International Symposium on the Physical and Failure Analysis of Integrated Circuits.

K. Roy, Jongsun Park, K. Muhammad, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Priyadarshini Panda, Isha Garg, 2018, IEEE Access.

V. De, A. Keshavarzi, A. Raychowdhury, 2006, Device Research Conference.

K. Roy, C. Mazzoli, S. Ramanathan, 2017, Nature Communications.

K. Roy, A. Raychowdhury, K. Roy, 2005, IEEE Transactions on Nanotechnology.

Kaushik Roy, Mrigank Sharad, Deliang Fan, 2013, ArXiv.

Kaushik Roy, Karthik Yogendra, Deliang Fan, 2015, IEEE Transactions on Magnetics.

Kaushik Roy, Akhilesh Jaiswal, Saima Sharmin, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jing Li, K. Roy, A. Bansal, 2007, IEEE Transactions on Electron Devices.

Kaushik Roy, Swaroop Ghosh, K. Roy, 2010, Proceedings of the IEEE.

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2006, Proceedings of the Design Automation & Test in Europe Conference.

K. Roy, D. Abraham, D. Worledge, 2011, IEEE Transactions on Magnetics.

K. Roy, D. Abraham, N. Mojumder, 2013, IEEE Transactions on Magnetics.

Kaushik Roy, Jongsun Park, Soonkeon Kwon, 2002, 2002 IEEE International Conference on Acoustics, Speech, and Signal Processing.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2002, J. Circuits Syst. Comput..

K. Roy, A. Raychowdhury, K. Roy, 2004 .

Kaushik Roy, Amit Agarwal, Anand Raghunathan, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kaushik Roy, Mei-Chin Chen, Ashish Ranjan, 2019, IEEE Transactions on Magnetics.

Kaushik Roy, Priyadarshini Panda, Abhronil Sengupta, 2017, ACM J. Emerg. Technol. Comput. Syst..

Kaushik Roy, Chankyu Lee, Sayeed Shafayet Chowdhury, 2020, Neurocomputing.

Kaushik Roy, Karthik Yogendra, Minsuk Koo, 2017, 2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Kaushik Roy, Karthik Yogendra, Byunghoo Jung, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Priyadarshini Panda, Amogh Agrawal, 2021, IEEE Transactions on Magnetics.

Kaushik Roy, Akhilesh Jaiswal, Indranil Chakraborty, 2017, 2017 75th Annual Device Research Conference (DRC).

Kaushik Roy, Gopalakrishnan Srinivasan, Akhilesh Jaiswal, 2016, IEEE Transactions on Electron Devices.

Kaushik Roy, Karthik Yogendra, Chamika M. Liyanagedera, 2017, ACM J. Emerg. Technol. Comput. Syst..

Kaushik Roy, Karthik Yogendra, Mrigank Sharad, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Karthik Yogendra, Mrigank Sharad, Kaushik Roy, 2013, 2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S).

Kaushik Roy, Parami Wijesinghe, Gopalakrishnan Srinivasan, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Gobinda Saha, Hua Zhou, 2019, Nature Communications.

Kaushik Roy, Mrigank Sharad, M. Sharad, 2014 .

Kaushik Roy, Indranil Chakraborty, Aayush Ankit, 2020, IEEE Micro.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Arijit Raychowdhury, Aditya Bansal, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Kaushik Roy, Jason M. Allred, K. Roy, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Cheng-Kok Koh, Aiqun Cao, 2005, TODE.

Cheng-Kok Koh, N. Sirisantana, K. Roy, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Kaushik Roy, Cheng-Kok Koh, Aiqun Cao, 2002, Proceedings International Symposium on Quality Electronic Design.

Kaushik Roy, Sharat Prasad, K. Roy, 2000 .

Kaushik Roy, Swarup Bhunia, Debjyoti Ghosh, 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

Anand Raghunathan, Kaushik Roy, Ashish Ranjan, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Xiaodong Zhang, K. Roy, 2000, Proceedings 6th IEEE International On-Line Testing Workshop (Cat. No.PR00646).