Zhiru Zhang
发表
Nitish Srivastava,
Hongbo Rong,
Guanyu Feng,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Zhiru Zhang,
Gai Liu,
Zhiru Zhang,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Rachit Nigam,
Sachille Atapattu,
2020,
PLDI.
Zhiru Zhang,
Chang Xu,
Guojie Luo,
2017,
FPGA.
Azadeh Davoodi,
Zhiru Zhang,
Sergio Bampi,
2020,
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.
Gang Qu,
Zhiru Zhang,
Farinaz Koushanfar,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Zhiru Zhang,
David H. Albonesi,
Abhinandan Majumdar,
2016,
2016 ACM/IEEE 7th International Conference on Cyber-Physical Systems (ICCPS).
Jason Cong,
Zhiru Zhang,
Peng Wei,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Jie Wang,
Yun Liang,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Zhiru Zhang,
Zhiru Zhang,
2015,
Symposium on Field Programmable Gate Arrays.
Zhiru Zhang,
Zhijing Li,
Rachit Nigam,
2020,
Artifact Digital Object Group.
Christopher Torng,
Khalid Al-Hawaj,
Christopher Batten,
2019,
IEEE Solid-State Circuits Letters.
Jason Cong,
Zhiru Zhang,
Sheng Zhou,
2010,
FPGA '10.
Zhiru Zhang,
Christopher De Sa,
G. Edward Suh,
2019,
MICRO.
Zhiru Zhang,
Gai Liu,
Ritchie Zhao,
2017,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Zhiru Zhang,
Wei Jiang,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Zhiru Zhang,
Gai Liu,
Ritchie Zhao,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2003,
ICCAD 2003.
Jason Cong,
Zhiru Zhang,
J. Cong,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Zhiru Zhang,
Ye Tao,
Gai Liu,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Zhiru Zhang,
Ritchie Zhao,
Mingxing Tan,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Christopher De Sa,
Yuwei Hu,
2019,
ICML.
Zhiru Zhang,
Chang Xu,
Guojie Luo,
2018,
FPGA.
Shreesha Srinath,
Christopher Batten,
Zhiru Zhang,
2017,
FPGA.
Zhiru Zhang,
Udit Gupta,
Mingxing Tan,
2015,
FPGA.
Zhiru Zhang,
Deming Chen,
Deming Chen,
2012,
2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.
Nitish Srivastava,
Zhiru Zhang,
David Albonesi,
2020,
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2004,
FPGA '04.
Zhiru Zhang,
Gai Liu,
2019,
ACM Trans. Reconfigurable Technol. Syst..
Zhiru Zhang,
G. Edward Suh,
Zhenghong Jiang,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
ISLPED.
Jason Cong,
Zhiru Zhang,
Deming Chen,
2005
.
Yuan Zhou,
Zhiru Zhang,
Brucek Khailany,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhiru Zhang,
Glenn Reinman,
2005,
FPGA '05.
Jason Cong,
Cody Hao Yu,
Zhe Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhiru Zhang,
Bin Liu,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Zhiru Zhang,
Cunxi Yu,
Cunxi Yu,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Nitish Srivastava,
Hongbo Rong,
Zhiru Zhang,
2020,
2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Zhiru Zhang,
G. Edward Suh,
Ritchie Zhao,
2020,
ICLR.
Zhiru Zhang,
Christopher De Sa,
Ritchie Zhao,
2019,
ArXiv.
Zhiru Zhang,
Gai Liu,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Christopher Torng,
Khalid Al-Hawaj,
Christopher Batten,
2019,
2019 Symposium on VLSI Circuits.
Jason Cong,
Zhiru Zhang,
Guoling Han,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Zhiru Zhang,
Fen Chen,
Dongmei Yan,
2010,
2010 18th International Conference on Geoinformatics.
Christopher Torng,
Christopher Batten,
Ronald G. Dreslinski,
2018,
IEEE Micro.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ICCAD 2003.
Zhiru Zhang,
G. Edward Suh,
Weizhe Hua,
2018,
DAC 2018.
Zhiru Zhang,
Christopher De Sa,
G. Edward Suh,
2018,
NeurIPS.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Cody Hao Yu,
Zhe Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Yuan Zhou,
Khalid Musa Al-Hawaj,
2017,
FPGA.
Zhiru Zhang,
Cunxi Yu,
Shaojie Xiang,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Zhiru Zhang,
Christopher De Sa,
Yuwei Hu,
2019
.
Zhiru Zhang,
G. Edward Suh,
Weizhe Hua,
2020,
ArXiv.
Zhiru Zhang,
Rajit Manohar,
Steve Dai,
2017,
FPGA.
Zhiru Zhang,
2015,
FPGA 2015.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2003,
ICCAD.
Zhiru Zhang,
Zheng Zhang,
Jiali Yu,
2020,
ArXiv.
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2008
.
Jason Cong,
Zhiru Zhang,
Wei Jiang,
2006,
2006 IEEE International SOC Conference.
Zhiru Zhang,
Gai Liu,
Joseph Primmer,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Bin Liu,
B. Liu,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Shreesha Srinath,
Christopher Batten,
Zhiru Zhang,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhiru Zhang,
Deming Chen,
2007,
2007 Asia and South Pacific Design Automation Conference.
Zhiru Zhang,
Christopher De Sa,
G. Edward Suh,
2018,
MICRO 2018.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Zhiru Zhang,
Gai Liu,
Ritchie Zhao,
2017,
2017 51st Asilomar Conference on Signals, Systems, and Computers.
Zhiru Zhang,
G. Edward Suh,
Muhammad Umar,
2020,
ArXiv.
Zhiru Zhang,
Mani B. Srivastava,
Zhuowen Tu,
2017,
2017 IEEE Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Zhiru Zhang,
Zhijing Li,
Debjit Pal,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Cody Hao Yu,
Yuan Zhou,
2019,
FPGA.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ICCAD.
Evangeline F. Y. Young,
Yuan Zhou,
Zhiru Zhang,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Zhiru Zhang,
Bin Liu,
2010,
TODE.
Zhiru Zhang,
Kecheng Hao,
Mingxing Tan,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Zhiru Zhang,
Cunxi Yu,
2019
.
Shreesha Srinath,
Berkin Ilbeyi,
Christopher Batten,
2014,
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
Yuan Zhou,
Zhiru Zhang,
Wenping Wang,
2018,
FPGA.
Zhiru Zhang,
Zhiqiang Zhao,
Chenhui Deng,
2020,
ICLR.
Zhiru Zhang,
G. Edward Suh,
Weizhe Hua,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Deming Chen,
Keith A. Campbell,
2015,
IPSJ Trans. Syst. LSI Des. Methodol..
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
FPGA '09.
Zhiru Zhang,
Gai Liu,
2017,
FPGA.
Zhiru Zhang,
Bin Liu,
Mingxing Tan,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).
Jason Cong,
Zhiru Zhang,
Guoling Han,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Zhiru Zhang,
Deming Chen,
Yichi Zhang,
2020,
FPGA.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ISPD '03.
Zhiru Zhang,
Guoling Han,
2006
.
Christina Delimitrou,
Zhiru Zhang,
Shaojie Xiang,
2020,
IEEE Computer Architecture Letters.
Zhiru Zhang,
Christopher De Sa,
Yuwei Hu,
2018,
2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Zhiru Zhang,
Robert Stone,
Louise Skinnari,
2017,
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Rajesh Gupta,
Zhiru Zhang,
Mani B. Srivastava,
2017,
FPGA.
Zhiru Zhang,
G. Edward Suh,
Zhenghong Jiang,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Zhiru Zhang,
Steve Dai,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhiru Zhang,
Shih-Chieh Chang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Zhiru Zhang,
Yiping Fan,
Xu Cheng,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jason Cong,
Zhiru Zhang,
Xu Cheng,
2005,
ASP-DAC.
Jason Cong,
Zhiru Zhang,
Deming Chen,
2016,
IET Cyper-Phys. Syst.: Theory & Appl..
Zhiru Zhang,
Gai Liu,
Steve Dai,
2018,
FPGA.