Stephen W. Keckler

发表

Chih-Chi Cheng, Jason Clemons, Iuri Frosio, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Norman P. Jouppi, Doug Burger, Stephen W. Keckler, 2002, ISCA.

Vikas Agarwal, Doug Burger, Stephen W. Keckler, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Stephen W. Keckler, Steven K. Reinhardt, S. Keckler, 2012, Computer.

Kathryn S. McKinley, Calvin Lin, Doug Burger, 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..

Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, 2003, Proceedings 21st International Conference on Computer Design.

Stephen W. Keckler, Doug Burger, Rajagopalan Desikan, 2001, ISCA.

Mateo Valero, Doug Burger, Stephen W. Keckler, 2002, CARN.

Calvin Lin, Stephen W. Keckler, Premkishore Shivakumar, 2005 .

Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler, 2001, MICRO.

Kathryn S. McKinley, Doug Burger, Stephen W. Keckler, 2005 .

Sriram R. Vangal, Li-Shiuan Peh, Stephen W. Keckler, 2017 .

Jaehyuk Huh, Karthikeyan Sankaralingam, Doug Burger, 2003, IEEE Micro.

Stephen W. Keckler, Kyle C. Hale, Boris Grot, 2009, 2009 2nd International Workshop on Network on Chip Architectures.

Kunle Olukotun, H. Peter Hofstee, Stephen W. Keckler, 2009, Integrated Circuits and Systems.

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, ArXiv.

Robert A. van de Geijn, Jeffrey R. Diamond, Doug Burger, 2008, PPoPP.

Doug Burger, Stephen W. Keckler, Kartik K. Agaram, 2001 .

David A. Wood, Stephen W. Keckler, Joel Hestness, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Doug Burger, Stephen W. Keckler, Madhu Saravana Sibi Govindan, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Doug Burger, Stephen W. Keckler, Madhu Saravana Sibi Govindan, 2009, ISLPED.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1997, Proceedings of the 28th Annual International Symposium on Microarchitecture.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2004, ASPLOS XI.

Mahmut T. Kandemir, Chita R. Das, Stephen W. Keckler, 2015, MEMSYS.

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2015, IEEE Computer Architecture Letters.

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1994, ASPLOS VI.

Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler, 2003, Proceedings 21st International Conference on Computer Design.

William J. Dally, Stephen W. Keckler, Mark Gebhart, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Brucek Khailany, Stephen W. Keckler, Joel S. Emer, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Xia Chen, Doug Burger, Stephen W. Keckler, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2001 .

Karthikeyan Sankaralingam, Stephen W. Keckler, Doug Burger, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Li-Shiuan Peh, Sriram Vangal, Stephen W. Keckler, 2009, Multicore Processors and Systems.

William J. Dally, Aditya Agrawal, Stephen W. Keckler, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Brucek Khailany, Jason Clemons, Iuri Frosio, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Stephen W. Keckler, Paul V. Gratz, 2010 .

Stephen W. Keckler, Nicholas P. Carter, Whay Sing Lee, 1993 .

Karthikeyan Sankaralingam, Stephen W. Keckler, Doug Burger, 2001 .

Karthikeyan Sankaralingam, Aaron Smith, Kathryn S. McKinley, 2007 .

Stephen W. Keckler, Doug Burger, Mark Papermaster, 2012, IEEE Micro.

Karthikeyan Sankaralingam, Aaron Smith, Kathryn S. McKinley, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler, 2003, MICRO.

William J. Dally, John D. Owens, Ron Ho, 2007, IEEE Micro.

Sani R. Nassif, Emrah Acar, Stephen W. Keckler, 2008 .

Changkyu Kim, Stephen W. Keckler, Doug Burger, 2003, IEEE Micro.

Stephen W. Keckler, Brian Zimmer, Michael B. Sullivan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler, 2007, IEEE Micro.

William J. Dally, Brucek Khailany, Stephen W. Keckler, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Mahmut T. Kandemir, Chita R. Das, Stephen W. Keckler, 2014, GPGPU@ASPLOS.

David W. Nellans, Daniel R. Johnson, Stephen W. Keckler, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Stephen W. Keckler, Nicholas P. Carter, Whay Sing Lee, 1995, MICRO 1995.

Jaehyuk Huh, Lixin Zhang, Doug Burger, 2005, ICS.

Aditya Agrawal, Stephen W. Keckler, Niladrish Chatterjee, 2016, MEMSYS.

Jeffrey R. Diamond, Donald S. Fussell, Stephen W. Keckler, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Stephen W. Keckler, Paul V. Gratz, S. Keckler, 2009 .

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Stephen W. Keckler, Chris Malachowsky, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Stephen W. Keckler, Paul Gratz, Boris Grot, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

William J. Dally, Michael Garland, David Glasco, 2011, IEEE Micro.

Harrick M. Vin, Stephen W. Keckler, Jayaram Mudigonda, 2007, SIGCOMM '07.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2008, CARN.

Jaehyuk Huh, Karthikeyan Sankaralingam, Doug Burger, 2004, TACO.

Harrick M. Vin, Stephen W. Keckler, Jayaram Mudigonda, 2007, SIGCOMM 2007.

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2010, ISCA'10.

Doug Burger, Stephen W. Keckler, Paul Gratz, 2006, 2006 International Conference on Computer Design.

Onur Mutlu, Todd C. Mowry, Gennady Pekhimenko, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Stephen W. Keckler, Mark Gebhart, 2010 .

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2012, IEEE Micro.

William J. Dally, Yanqing Zhang, Brucek Khailany, 2019, MICRO.

William J. Dally, Justin Luitjens, David W. Nellans, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

James C. Browne, Martin Burtscher, Byoung-Do Kim, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Stephen W. Keckler, Abdulrahman Mahmoud, Michael B. Sullivan, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, 2003, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2009, Multicore Processors and Systems.

William J. Dally, Antonio Puglielli, Brucek Khailany, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Kevin Skadron, Daniel R. Johnson, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Lorenzo Alvisi, Doug Burger, Stephen W. Keckler, 2002, Proceedings International Conference on Dependable Systems and Networks.

Doug Burger, Stephen W. Keckler, Changkyu Kim, 2002, ASPLOS X.

David W. Nellans, Mattan Erez, Stephen W. Keckler, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Stephen W. Keckler, Stephem W. Keckler, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2003, IEEE Micro.

William J. Dally, Stephen W. Keckler, Sandeep Chatterjee, 1999, IEEE Trans. Computers.

Lizy Kurian John, Michael Dahlin, Kathryn S. McKinley, 2004, Computer.

Stephen W. Keckler, Mark Stephenson, Neal C. Crago, 2018, ACM Trans. Archit. Code Optim..

H. Peter Hofstee, Stephen W. Keckler, Ian Buck, 2007, CLUSTER.

Kathryn S. McKinley, Stephen W. Keckler, Doug Burger, 2008 .

Dong Li, Aaron Smith, Doug Burger, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Jeffrey R. Diamond, Mario Marino, Aaron Smith, 2009, ASPLOS.

Kathryn S. McKinley, Calvin Lin, Stephen W. Keckler, 2006, ISMM '06.

Stephen W. Keckler, Premkishore Shivakumar, S. Keckler, 2007 .

Krste Asanovic, Stephen W. Keckler, Ronny Krashinsky, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2004 .

Stephen W. Keckler, Mark Stephenson, Joel S. Emer, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Joel Emer, Stephen W. Keckler, Paolo Rech, 2020, ArXiv.

Kathryn S. McKinley, Bertrand A. Maher, Mario Donato Marino, 2008 .

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Stephen W. Keckler, Daniel Maskit, 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

Karthick Rajamani, Soraya Ghiasi, Freeman L. Rawson, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Stephen W. Keckler, Olivier Giroux, Eddie Yan, 2020, CGO.

Stephen W. Keckler, Boris Grot, S. Keckler, 2008 .

Simha Sethumadhavan, Franziska Roesner, Doug Burger, 2007, ISCA '07.

Ravishankar K. Iyer, Zbigniew T. Kalbarczyk, Stephen W. Keckler, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Yuan Xie, Jason Clemons, Stephen W. Keckler, 2017 .

David W. Nellans, Stephen W. Keckler, Mark Stephenson, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Simha Sethumadhavan, Karthikeyan Sankaralingam, Stephen W. Keckler, 2007 .

Doug Burger, Stephen W. Keckler, Nitya Ranganathan, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Charbel Sakr, Pavlo Molchanov, Sarita V. Adve, 2020, ArXiv.

Guanpeng Li, Karthik Pattabiraman, Stephen W. Keckler, 2017, SC17: International Conference for High Performance Computing, Networking, Storage and Analysis.

Thomas F. Wenisch, David W. Nellans, Stephen W. Keckler, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Dong Li, Aaron Smith, Bertrand A. Maher, 2014, IEEE Transactions on Computers.

Simha Sethumadhavan, Karthikeyan Sankaralingam, Doug Burger, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Vikas Agarwal, Doug Burger, Stephen W. Keckler, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1998, Computer.

Doug Burger, Stephen W. Keckler, Ramadass Nagarajan, 2006 .

Jason Clemons, Stephen W. Keckler, Joel S. Emer, 2019, ASPLOS.

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, ICS.

Thomas F. Wenisch, David W. Nellans, Stephen W. Keckler, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

William J. Dally, Kevin Skadron, Daniel R. Johnson, 2012, TOCS.

Todd M. Austin, Doug Burger, Stephen W. Keckler, 2004, PERV.

Kathryn S. McKinley, Stephen W. Keckler, Doug Burger, 2004, PACT 2004.

Doug Burger, Stephen W. Keckler, Kartik K. Agaram, 2001 .

Franziska Roesner, Doug Burger, Stephen W. Keckler, 2008, 2008 International Symposium on Computer Architecture.

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks – Supplemental Volume (DSN-S).

Oreste Villa, Stephen W. Keckler, Mark Stephenson, 2019, MICRO.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2006, 2006 International Conference on Computer Design.

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jaehyuk Huh, Lixin Zhang, Doug Burger, 2007, IEEE Transactions on Parallel and Distributed Systems.

David A. Wood, Stephen W. Keckler, Joel Hestness, 2015, 2015 IEEE International Symposium on Workload Characterization.

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2004, IEEE Micro.

William J. Dally, Brucek Khailany, Stephen G. Tell, 2019, 2019 Symposium on VLSI Circuits.

Kathryn S. McKinley, Calvin Lin, Doug Burger, 2004, IEEE PACT.

Onur Mutlu, Stephen W. Keckler, Boris Grot, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Natalia Gimelshein, Jason Clemons, Stephen W. Keckler, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler, 2007, First International Symposium on Networks-on-Chip (NOCS'07).

Stephen W. Keckler, Boris Grot, Joel Hestness, 2010, NoCArc '10.

Doug Burger, Stephen W. Keckler, Karthik Natarajan, 2003 .

William J. Dally, Daniel R. Johnson, Stephen W. Keckler, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Stephen W. Keckler, Mark Stephenson, Neha Agarwal, 2015, ASPLOS.

Jaehyuk Huh, Doug Burger, Stephen W. Keckler, 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

Vinod K. Agarwal, Doug Burger, Stephen W. Keckler, 2000 .

Karthick Rajamani, Soraya Ghiasi, Freeman L. Rawson, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Jaehyuk Huh, Karthikeyan Sankaralingam, Doug Burger, 2003, ISCA '03.

Kathryn S. McKinley, Doug Burger, Stephen W. Keckler, 2005 .

Onur Mutlu, Stephen W. Keckler, Gwangsun Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Daniel A. Jiménez, Calvin Lin, Stephen W. Keckler, 2000, MICRO 33.

Doug Burger, Stephen W. Keckler, Rajagopalan Desikan, 2001, ISCA 2001.

Tom Keller, Kathryn S. McKinley, Calvin Lin, 2004 .

Vikas Agarwal, Karthikeyan Sankaralingam, Doug Burger, 2003 .

Alex Ramírez, David W. Nellans, Oreste Villa, 2015, IEEE Micro.

Stephen W. Keckler, Heather L. Hanson, 2007 .

Daniel R. Johnson, Stephen W. Keckler, Mike O'Connor, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Vikas Agarwal, Doug Burger, Stephen W. Keckler, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Stephen W. Keckler, Mark Stephenson, Arslan Zulfiqar, 2018, ACM Trans. Archit. Code Optim..

William J. Dally, Yanqing Zhang, Brucek Khailany, 2020, IEEE Journal of Solid-State Circuits.

Stephen W. Keckler, Michael B. Sullivan, Timothy Tsai, 2020, IEEE Transactions on Dependable and Secure Computing.

Stephen W. Keckler, Niladrish Chatterjee, Mike O'Connor, 2017, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Krste Asanovic, Stephen W. Keckler, Ronny Krashinsky, 2013, Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Doug Burger, Stephen W. Keckler, Vincent Ajay Singh, 2003 .

William J. Dally, Brucek Khailany, Jason Clemons, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Stephen W. Keckler, Oreste Villa, Timothy Tsai, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

David W. Nellans, Stephen W. Keckler, Niladrish Chatterjee, 2021, ACM Trans. Archit. Code Optim..

Stephen W. Keckler, Zhengya Zhang, Yakun Sophia Shao, 2021, IEEE Journal of Solid-State Circuits.

William J. Dally, Stephen W. Keckler, David B. Kirk, 2021, IEEE Micro.

Stephen W. Keckler, Hengyu Zhao, Timothy Tsai, 2021, 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Stephen W. Keckler, Ram Rangan, Mark Stephenson, 2021, Comput. Graph. Forum.

Zahra Ghodsi, Siddharth Garg, Iuri Frosio, 2021, 2021 IEEE Intelligent Vehicles Symposium (IV).

William J. Dally, Stephen W. Keckler, Nicholas P. Carter, 1995 .

Doug Burger, Stephen W. Keckler, 2005 .

Stephen W. Keckler, Brian Zimmer, Timothy Tsai, 2016 .

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2006 .

Stephen W. Keckler, Oreste Villa, David Nellans, 2019, Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture.

James C. Browne, Martin Burtscher, Byoung-Do Kim, 2010 .

Stephen W. Keckler, Premkishore Shivakumar, S. Keckler, 2007 .

Doug Burger, Stephen W. Keckler, Changkyu Kim, 2002 .

Simha Sethumadhavan, Doug Burger, Stephen W. Keckler, 2022 .