Kunhyuk Kang

发表

Kaushik Roy, Jing Li, Kunhyuk Kang, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Jongsun Park, Ik Joon Chang, 2010, IET Circuits Devices Syst..

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2006, Proceedings of the Design Automation & Test in Europe Conference.