Tiziano Villa

发表

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2015, Proc. IEEE.

Tiziano Villa, Jan H. van Schuppen, 2014 .

Tiziano Villa, Gabriele Puppis, Angelo Montanari, 2015, Inf. Comput..

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2013, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC).

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Wilsin Gosti, 2007, Int. J. Appl. Math. Comput. Sci..

Davide Bresolin, Luca Geretti, Tiziano Villa, 2019, OVERLAY@AI*IA.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2018, Microprocess. Microsystems.

Tiziano Villa, Alberto Sangiovanni-Vincentelli, Alberto Casagrande, 2006 .

Tiziano Villa, Marco Sampietro, M. Sampietro, 2014 .

Tiziano Villa, Nina Yevtushenko, Victor Bushkov, 2009, ArXiv.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 2010 .

Tiziano Villa, Robert K. Brayton, Timothy Kam, 1998 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Nina Yevtushenko, Igor Burdonov, 2019, 2019 Actual Problems of Systems and Software Engineering (APSSE).

Tiziano Villa, Robert K. Brayton, Valentina Ciriani, 2018 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Leonardo Mangeruca, 2020, GandALF.

Tiziano Villa, Romeo Rizzi, Matteo Zavatteri, 2019, OVERLAY@AI*IA.

Tiziano Villa, Soha Hassoun, S. Hassoun, 2001 .

Davide Bresolin, Luca Geretti, Riccardo Muradore, 2014, 2014 17th Euromicro Conference on Digital System Design.

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Tiziano Villa, Alberto Sangiovanni-Vincentelli, Timothy Kam, 1997 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2008, Discret. Event Dyn. Syst..

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2007, GLSVLSI '07.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Thomas R. Shiple, Gitanjali M. Swamy, 1995 .

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Maria Domenica Di Benedetto, 2001, IEEE Trans. Autom. Control..

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2019, IEEE Transactions on Computers.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 2012 .

Tiziano Villa, Stephen A. Edwards, Szu-Tsung Cheng, 1996, CAV.

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Maria Domenica Di Benedetto, 2006, ADHS.

Davide Bresolin, Luca Geretti, Riccardo Muradore, 2017 .

Davide Bresolin, Tiziano Villa, Franco Fummi, 2009, 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Tiziano Villa, Robert K. Brayton, Alexander Saldanha, 1991, 28th ACM/IEEE Design Automation Conference.

Tiziano Villa, Nina Yevtushenko, Jie-Hong Roland Jiang, 2015, Comput. J..

Tiziano Villa, Robert K. Brayton, Alexander Saldanha, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Robert K. Brayton, Jie-Hong Roland Jiang, 2002, Proceedings 32nd IEEE International Symposium on Multiple-Valued Logic.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2013, Microprocess. Microsystems.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2012, Integr..

Tiziano Villa, Guido Sciavicco, Matteo Zavatteri, 2020, TIME.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2015, Proceedings of the IEEE.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Robert K. Brayton, Timothy Kam, 1997 .

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tiziano Villa, A. Richard Newton, Michael Pabst, 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

Tiziano Villa, Marco Sampietro, 2014 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Davide Bresolin, Tiziano Villa, Nina Yevtushenko, 2014, GandALF.

Davide Bresolin, Tiziano Villa, Nina Yevtushenko, 2018 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Davide Bresolin, Luca Geretti, Riccardo Muradore, 2018, ACM Trans. Cyber Phys. Syst..

Davide Bresolin, Luca Geretti, Tiziano Villa, 2012, ADHS.

Tiziano Villa, Marco Sampietro, 2014 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Anna Bernasconi, Valentina Ciriani, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Davide Bresolin, Luca Geretti, Tiziano Villa, 2015 .

Davide Bresolin, Luca Geretti, Riccardo Muradore, 2015, Microprocess. Microsystems.

Tiziano Villa, Alberto Sangiovanni-Vincentelli, G. De Micheli, 1983 .

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Tiziano Villa, Rolf Drechsler, Valentina Ciriani, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Tiziano Villa, Maria Domenica Di Benedetto, Alberto Sangiovanni-Vincentelli, 2000, HSCC.

Tiziano Villa, Olivier Coudert, O. Coudert, 2001, ICCAD 2001.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2012, 2012 15th Euromicro Conference on Digital System Design.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2005, Design, Automation and Test in Europe.

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Gang Qu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, A. Sangiovanni-Vincentelli, 1989, 26th ACM/IEEE Design Automation Conference.

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Alberto Sangiovanni-Vincentelli, T. Kam, 1997 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 2012 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Rolf Drechsler, Valentina Ciriani, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tiziano Villa, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli, 1998, Proceedings of the 8th Great Lakes Symposium on VLSI (Cat. No.98TB100222).

Tiziano Villa, Marco Sampietro, M. Sampietro, 2014 .

Tiziano Villa, Robert K. Brayton, Peter L. Hammer, 2010, Boolean Models and Methods.

Davide Bresolin, Luca Geretti, Tiziano Villa, 2020, HSCC.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2015, IEEE Transactions on Computers.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 2012 .

Tiziano Villa, Romeo Rizzi, Matteo Zavatteri, 2019, OVERLAY@AI*IA.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Davide Bresolin, Luca Geretti, Tiziano Villa, 2015, Proceedings of the IEEE.

Davide Bresolin, Tiziano Villa, Alberto Sangiovanni-Vincentelli, 2008 .

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Christopher Umans, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Tiziano Villa, Alberto Sangiovanni-Vincentelli, T. Kam, 1997 .

Tiziano Villa, Danila Gorodecky, 2019, 2019 IEEE 26th Symposium on Computer Arithmetic (ARITH).

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Luca P. Carloni, 1998, IEEE Trans. Computers.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1994, 31st Design Automation Conference.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, A. Sangiovanni-Vincentelli, 1989, 26th ACM/IEEE Design Automation Conference.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Davide Bresolin, Luca Geretti, Tiziano Villa, 2014 .

Davide Bresolin, Luca Geretti, Tiziano Villa, 2020, ArXiv.

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Robert K. Brayton, Valentina Ciriani, 2015, 2015 Euromicro Conference on Digital System Design.

Tiziano Villa, Nina Yevtushenko, Alan Mishchenko, 2004 .

Davide Bresolin, Luca Geretti, Tiziano Villa, 2017, ICTSS.

Davide Bresolin, Luca Geretti, Tiziano Villa, 2011, 2011 7th International Wireless Communications and Mobile Computing Conference.

Tiziano Villa, Sanjit A. Seshia, Luigi Di Guglielmo, 2013, 2013 Federated Conference on Computer Science and Information Systems.

Tiziano Villa, Robert K. Brayton, Luca P. Carloni, 1997, International Conference on Computer Aided Design.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2011, GLSVLSI '11.

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tiziano Villa, Robert K. Brayton, Luca P. Carloni, 1996 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2015, SEFM Workshops.

Tiziano Villa, Marco Sampietro, 2014 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2016, 2016 Euromicro Conference on Digital System Design (DSD).

Tiziano Villa, Alberto L. Sangiovanni-Vincentelli, Lin Yuan, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Davide Bresolin, Luca Geretti, Riccardo Muradore, 2012, 2012 15th Euromicro Conference on Digital System Design.

Tiziano Villa, Peter L. Hammer, Yves Crama, 2010, Boolean Models and Methods.

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2012 .

Tiziano Villa, Maria Domenica Di Benedetto, Alberto Sangiovanni-Vincentelli, 2006 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 2012 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997 .

Tiziano Villa, Romeo Rizzi, Matteo Zavatteri, 2020, Business Process Management Workshops.

Davide Bresolin, Luca Geretti, Tiziano Villa, 2019, NSV@CAV.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2021, 2021 24th Euromicro Conference on Digital System Design (DSD).

Tiziano Villa, Guido Sciavicco, Matteo Zavatteri, 2021, Inf. Comput..

Davide Bresolin, Tiziano Villa, Nina Yevtushenko, 2021, ArXiv.

Tiziano Villa, Guido Sciavicco, Matteo Zavatteri, 2021, OVERLAY@GandALF.

Tiziano Villa, Jordi Cortadella, Viktor Teren, 2021, 2021 24th Euromicro Conference on Digital System Design (DSD).

Tiziano Villa, Robert K. Brayton, Luca P. Carloni, 1997, ICCAD 1997.

Tiziano Villa, Robert K. Brayton, A. Sangiovanni Vincentelli, 2010 .

Tiziano Villa, T. Villa, 1996 .

Tiziano Villa, Nina Yevtushenko, S. Zharikova, 2007 .

Tiziano Villa, Alberto Policriti, Andrea Balluchi, 2003 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, 2003 .

Tiziano Villa, Robert K. Brayton, Timothy Kam, 1997 .

Tiziano Villa, Robert K. Brayton, Nina Yevtushenko, 2014 .

Tiziano Villa, Robert K. Brayton, Alberto Sangiovanni-Vincentelli, 1997, ICCAD 1997.

Tiziano Villa, Valentina Ciriani, Anna Bernasconi, 2011 .

Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli, .