Mark Ingels

发表

Andrea Baschirotto, Jan Craninckx, Vincenzo Chironi, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Michiel Steyaert, Philippe P. Absil, Joris Van Campenhout, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jan Craninckx, Piet Wambacq, Mark Ingels, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Michiel Steyaert, Mark Ingels, 2004 .

Michiel Steyaert, Mark Ingels, 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

Jan Craninckx, Piet Wambacq, Mark Ingels, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Jonathan Borremans, Jan Craninckx, Björn Debaillie, 2011, IEEE Journal of Solid-State Circuits.

Michiel Steyaert, Mark Ingels, 2004 .

Michiel Steyaert, Pierluigi Nuzzo, Kameswaran Vengattaramane, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Chunshu Li, André Bourdoux, Marian Verhelst, 2013, SiPS 2013 Proceedings.

Andrea Baschirotto, Jan Craninckx, Vincenzo Chironi, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Michiel Steyaert, Mark Ingels, 2004 .

André Bourdoux, Piet Wambacq, Mark Ingels, 2020, 2020 IEEE 31st Annual International Symposium on Personal, Indoor and Mobile Radio Communications.

Michiel Steyaert, Peter De Heyn, Philippe P. Absil, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Michiel Steyaert, Joris Van Campenhout, Mark Ingels, 2016, IEEE Journal of Solid-State Circuits.

Michiel Steyaert, Joris Van Campenhout, Mark Ingels, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Pierluigi Nuzzo, Jonathan Borremans, Kameswaran Vengattaramane, 2009, IEEE Journal of Solid-State Circuits.

Andrea Baschirotto, Jan Craninckx, Vincenzo Chironi, 2011, IEEE Asian Solid-State Circuits Conference 2011.

Michiel Steyaert, Kameswaran Vengattaramane, Jan Craninckx, 2009, 2009 IEEE Radio Frequency Integrated Circuits Symposium.

Andrea Baschirotto, Jan Craninckx, Vincenzo Chironi, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Michel Steyaert, Stefan Gogaert, Jan Craninckx, 1995 .

Jan Craninckx, Piet Wambacq, Mark Ingels, 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

Jan Craninckx, Piet Wambacq, Mark Ingels, 2017 .

Michiel Steyaert, Mark Ingels, 1996, ESSCIRC '96: Proceedings of the 22nd European Solid-State Circuits Conference.

Jonathan Borremans, Jan Craninckx, Takaya Yamamoto, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Chunshu Li, André Bourdoux, Marian Verhelst, 2015, J. Signal Process. Syst..

Jonathan Borremans, Jan Craninckx, Björn Debaillie, 2011, 2011 IEEE International Solid-State Circuits Conference.

Yao Liu, Jan Craninckx, Mark Ingels, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Chunshu Li, André Bourdoux, Marian Verhelst, 2015, IEEE Transactions on Signal Processing.

Niamh Waldron, Piet Wambacq, Giovanni Mangraviti, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Jan Craninckx, Mark Ingels, Xiaoqiang Zhang, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Jonathan Borremans, Jan Craninckx, Takaya Yamamoto, 2010, IEEE Journal of Solid-State Circuits.

Jan Craninckx, Piet Wambacq, Mark Ingels, 2015, IEEE Journal of Solid-State Circuits.

Michiel Steyaert, Joris Van Campenhout, Mark Ingels, 2016, 2016 Optical Fiber Communications Conference and Exhibition (OFC).

Chunshu Li, André Bourdoux, Piet Wambacq, 2016, IEEE Journal of Solid-State Circuits.

Pieter Palmers, Jan Craninckx, Mark Ingels, 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

Jan Craninckx, Piet Wambacq, Mark Ingels, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Yorgos Palaskas, Mark Ingels, 2010, ISSCC 2010.

Jan Craninckx, Piet Wambacq, Mark Ingels, 2017 .

Chunshu Li, André Bourdoux, Marian Verhelst, 2014, 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Michiel Steyaert, Mark Ingels, Joris Van Campenhout, 2014, 2014 Optical Interconnects Conference.

Jonathan Borremans, Jan Craninckx, Mark Ingels, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Liesbeth Witters, Piet Wambacq, Mark Ingels, 2020, ECS Meeting Abstracts.

Jonathan Borremans, Jan Craninckx, Mark Ingels, 2011, 2011 IEEE International Solid-State Circuits Conference.

Mark Ingels, Domine Leenaerts, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Jan Craninckx, Mark Ingels, Andrea Baschirotto, 2011 .

Michiel Steyaert, Pierluigi Nuzzo, Kameswaran Vengattaramane, 2009, RFIC 2009.