Saibal Mukhopadhyay

发表

Ching-Te Chuang, Saibal Mukhopadhyay, Rahul M. Rao, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Saibal Mukhopadhyay, Denny Lie, Amit Ranjan Trivedi, 2016, IEEE Transactions on Multi-Scale Computing Systems.

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, 14th Asian Test Symposium (ATS'05).

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2015 .

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Ching-Te Chuang, Saibal Mukhopadhyay, Keunwoo Kim, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, 11th IEEE International On-Line Testing Symposium.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Suman Datta, Saibal Mukhopadhyay, Amit Ranjan Trivedi, 2014, IEEE Transactions on Electron Devices.

Saibal Mukhopadhyay, Minki Cho, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Kaushik Roy, Swarup Bhunia, Saibal Mukhopadhyay, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Satish Kumar, Saibal Mukhopadhyay, Sayeef Salahuddin, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Kwanyeob Chae, Saibal Mukhopadhyay, Denny Lie, 2014, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, 14th Asian Test Symposium (ATS'05).

Saibal Mukhopadhyay, Borislav Alexandrov, Khondker Zakir Ahmed, 2014 .

Kaushik Roy, Saibal Mukhopadhyay, Saakshi Gangwal, 2006, IEEE Custom Integrated Circuits Conference 2006.

Kaushik Roy, Keith A. Jenkins, Ching-Te Chuang, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Saibal Mukhopadhyay, Priyabrata Saha, Jong Hwan Ko, 2019, 2019 International Joint Conference on Neural Networks (IJCNN).

Kaushik Roy, Saibal Mukhopadhyay, Aditya Bansal, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Sergio Carlo, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Sanu Mathew, Vivek De, Monodeep Kar, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2002, J. Circuits Syst. Comput..

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Swarup Bhunia, Saibal Mukhopadhyay, 2015, IEEE J. Emerg. Sel. Topics Circuits Syst..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, 2015 IEEE International Reliability Physics Symposium.

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Mohammad Faisal Amir, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Saibal Mukhopadhyay, Jaeha Kung, Duckhwan Kim, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Satish Kumar, Saibal Mukhopadhyay, Borislav Alexandrov, 2011 .

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Yun Long, Jaeha Kung, 2016, 2016 International Joint Conference on Neural Networks (IJCNN).

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2016, IEEE Computer Architecture Letters.

Saibal Mukhopadhyay, Zhimin Wan, Yogendra Joshi, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Saibal Mukhopadhyay, Amit Ranjan Trivedi, 2014, IEEE Transactions on Nanotechnology.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Sanu Mathew, Vivek De, Monodeep Kar, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Wen Yueh, 2011, 2011 IEEE 20th Conference on Electrical Performance of Electronic Packaging and Systems.

Satish Kumar, Saibal Mukhopadhyay, Borislav Alexandrov, 2012, 17th Asia and South Pacific Design Automation Conference.

Saibal Mukhopadhyay, Jong Hwan Ko, Burhan Ahmad Mudassar, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Fook-Luen Heng, Ching-Te Chuang, Saibal Mukhopadhyay, 2008, 2008 IEEE International Conference on Computer Design.

Saibal Mukhopadhyay, Jeremy R. Tolbert, 2009, 2009 10th International Symposium on Quality Electronic Design.

Kaushik Roy, Chris H. Kim, Saibal Mukhopadhyay, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Khondker Zakir Ahmed, K. Z. Ahmed, 2014, IEEE Transactions on Power Electronics.

Sung Kyu Lim, Saibal Mukhopadhyay, Daehyun Kim, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Azad Naeemi, Chenyun Pan, 2014, 2014 IEEE 23rd Conference on Electrical Performance of Electronic Packaging and Systems.

Madhavan Swaminathan, Saibal Mukhopadhyay, Mohammad Faisal Amir, 2018, IEEE Microwave and Wireless Components Letters.

Xin Zhao, Sung Kyu Lim, Kwanyeob Chae, 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Kwanyeob Chae, Saibal Mukhopadhyay, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2014, 2014 IEEE International Conference on IC Design & Technology.

Marilyn Wolf, Saibal Mukhopadhyay, 2014, WESS '14.

Saibal Mukhopadhyay, Jong Hwan Ko, Khondker Zakir Ahmed, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Saibal Mukhopadhyay, Jeremy R. Tolbert, Pratik Kabali, 2009, 2009 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Saibal Mukhopadhyay, Jeremy R. Tolbert, Pratik Kabali, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Joy Laskar, Kwanyeob Chae, Saibal Mukhopadhyay, 2010, IEEE Custom Integrated Circuits Conference 2010.

Minki Cho, Satish Kumar, Saibal Mukhopadhyay, 2010, 2010 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.

Kwanyeob Chae, Saibal Mukhopadhyay, Chang-Ho Lee, 2011, 2011 IEEE International Conference on IC Design & Technology.

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2009, ISLPED.

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Marilyn Wolf, Jason Schlessman, Hamid Mahmoodi, 2010, IEEE Design & Test of Computers.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE International Conference on Test, 2005..

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2018, IEEE Journal of Solid-State Circuits.

Saibal Mukhopadhyay, Khondker Zakir Ahmed, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Yun Long, Dae Hyun Kim, Saibal Mukhopadhyay, 2019, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Saibal Mukhopadhyay, Yun Long, Xueyuan She, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Jaeha Kung, Duckhwan Kim, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Mohammad Faisal Amir, 2018, 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2019, IEEE Internet of Things Journal.

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2004, ICCAD 2004.

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Marilyn Wolf, Saibal Mukhopadhyay, 2013, 2013 IEEE Frontiers in Education Conference (FIE).

Saibal Mukhopadhyay, Aditya Bansal, Kairshik Roy, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Saibal Mukhopadhyay, Burhan A. Mudassar, Jong Hwan Ko, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Kaushik Roy, Amit Agarwal, Chris H. Kim, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Yu Zheng, Debdeep Mukhopadhyay, Swarup Bhunia, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kwanyeob Chae, Saibal Mukhopadhyay, Minki Cho, 2012, 2012 13th Latin American Test Workshop (LATW).

Saibal Mukhopadhyay, Taesik Na, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Syed Minhaj Hassan, 2015, MEMSYS.

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Arijit Raychowdhury, Minki Cho, 2010, 2010 IEEE International Test Conference.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Saibal Mukhopadhyay, Sourabh Khire, S. Mukhopadhyay, 2009, 2009 IEEE International Conference on Computer Design.

Kaushik Roy, Amit Agarwal, Chris H. Kim, 2006, IEEE Micro.

Saibal Mukhopadhyay, Yun Long, Jong Hwan Ko, 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

Saibal Mukhopadhyay, Minki Cho, Kingsuk Maitra, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Kaushik Roy, Rajiv V. Joshi, Ching-Te Chuang, 2005, Sixth international symposium on quality electronic design (isqed'05).

Saibal Mukhopadhyay, Jong Hwan Ko, Mohammad Faisal Amir, 2018, 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

Animesh Datta, Swarup Bhunia, Kaushik Roy, 2008 .

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

Saibal Mukhopadhyay, Jong Hwan Ko, Jaeha Kung, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Saibal Mukhopadhyay, Hyesoon Kim, Dilan Manatunga, 2015, IEEE Micro.

Sudhakar Yalamanchili, Bahar Asgari, Saibal Mukhopadhyay, 2018, ArXiv.

Kaushik Roy, Amit Agarwal, Chris H. Kim, 2004, Proceedings. 41st Design Automation Conference, 2004..

Sanu Mathew, Vivek De, Monodeep Kar, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kwanyeob Chae, Saibal Mukhopadhyay, 2012, 17th Asia and South Pacific Design Automation Conference.

Jason Schlessman, Wayne H. Wolf, Saibal Mukhopadhyay, 2009, 2009 Asia and South Pacific Design Automation Conference.

Saibal Mukhopadhyay, Sergio Carlo, Wen Yueh, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Monodeep Kar, Saibal Mukhopadhyay, Khondker Z. Ahmed, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Kaushik Roy, Rajiv V. Joshi, Ching-Te Chuang, 2007, Microelectron. J..

Sudhakar Yalamanchili, Asif Islam Khan, Saibal Mukhopadhyay, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Saibal Mukhopadhyay, Minki Cho, Wen Yueh, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Monodeep Kar, Saibal Mukhopadhyay, Sergio Carlo, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Jason Schlessman, Wayne H. Wolf, Saibal Mukhopadhyay, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Yun Long, Xueyuan She, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Krishnendu Chakrabarty, Sung Kyu Lim, Saibal Mukhopadhyay, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Saibal Mukhopadhyay, Jong Hwan Ko, Mohammad Faisal Amir, 2018, IEEE Sensors Journal.

James Tschanz, Kwanyeob Chae, Saibal Mukhopadhyay, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, 11th IEEE International On-Line Testing Symposium.

Kaushik Roy, Swarup Bhunia, Saibal Mukhopadhyay, 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Marilyn Wolf, Saibal Mukhopadhyay, Honggab Kim, 2011, 2011 IEEE Workshop on Signal Processing Systems (SiPS).

Saibal Mukhopadhyay, Jaeha Kung, Duckhwan Kim, 2017, IEEE Transactions on Emerging Topics in Computing.

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Sachin S. Sapatnekar, Saibal Mukhopadhyay, Amit Ranjan Trivedi, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rajiv V. Joshi, Yue Tan, Ching-Te Chuang, 2009, IEEE Journal of Solid-State Circuits.

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Rouwaida Kanj, Fook-Luen Heng, Jin-Fuw Lee, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2019, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2004, 17th International Conference on VLSI Design. Proceedings..

Saibal Mukhopadhyay, Jong Hwan Ko, Burhan Ahmad Mudassar, 2015, IEEE Transactions on Multi-Scale Computing Systems.

Sung Kyu Lim, Saibal Mukhopadhyay, Daehyun Kim, 2009, SLIP '09.

Sanu Mathew, Vivek De, Monodeep Kar, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

Ching-Te Chuang, Saibal Mukhopadhyay, Rahul M. Rao, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Swarup Bhunia, Saibal Mukhopadhyay, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Chris H. Kim, Saibal Mukhopadhyay, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2005, 2005 International Conference on Computer Design.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Subho Chatterjee, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Satish Kumar, Saibal Mukhopadhyay, Sayeef Salahuddin, 2009, 2009 10th Annual Non-Volatile Memory Technology Symposium (NVMTS).

Saibal Mukhopadhyay, Amit Ranjan Trivedi, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Saibal Mukhopadhyay, Azad Naeemi, Chenyun Pan, 2014, Fifteenth International Symposium on Quality Electronic Design.

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 IEEE International SOC Conference.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Jong Hwan Ko, Jaeha Kung, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

Rajiv V. Joshi, Ching-Te Chuang, Saibal Mukhopadhyay, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kwanyeob Chae, Saibal Mukhopadhyay, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Sung Kyu Lim, Madhavan Swaminathan, Gauthaman Murali, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Kaushik Roy, Mrigank Sharad, Xuanyao Fong, 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2009, 2009 9th IEEE Conference on Nanotechnology (IEEE-NANO).

Saibal Mukhopadhyay, Yun Long, Taesik Na, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Sayeef Salahuddin, Subho Chatterjee, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Kaushik Roy, Saibal Mukhopadhyay, Qikai Chen, 2007, 2007 IEEE Design and Diagnostics of Electronic Circuits and Systems.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Mohammad Faisal Amir, 2014, 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

Saibal Mukhopadhyay, Yun Long, Jaeha Kung, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Satish Kumar, Saibal Mukhopadhyay, Owen Sullivan, 2012 .

Marilyn Wolf, Saibal Mukhopadhyay, Yun Long, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Saibal Mukhopadhyay, Jaeha Kung, Duckhwan Kim, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2016, 2016 13th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS).

Madhavan Swaminathan, Saibal Mukhopadhyay, Sridhar Sivapurapu, 2018, 2018 IEEE 68th Electronic Components and Technology Conference (ECTC).

Kaushik Roy, Ching-Te Chuang, Saibal Mukhopadhyay, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2005, Design, Automation and Test in Europe.

Kwanyeob Chae, Saibal Mukhopadhyay, Denny Lie, 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Satish Kumar, Saibal Mukhopadhyay, Minki Cho, 2012 .

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2018, 2018 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay, 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

Kwanyeob Chae, Saibal Mukhopadhyay, 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Mohammad Faisal Amir, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Saibal Mukhopadhyay, Jong Hwan Ko, Mohammad Faisal Amir, 2018, 2018 15th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS).

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2008, ICCAD 2008.

Saibal Mukhopadhyay, Yun Long, Xueyuan She, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, Sixth international symposium on quality electronic design (isqed'05).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2017, ArXiv.

Sung Kyu Lim, Chang Liu, Saibal Mukhopadhyay, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Saibal Mukhopadhyay, Jong Hwan Ko, Burhan Ahmad Mudassar, 2018, 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Swarup Bhunia, Saibal Mukhopadhyay, S. Mukhopadhyay, 2011 .

Monodeep Kar, Saibal Mukhopadhyay, Khondker Zakir Ahmed, 2016, IEEE Transactions on Power Electronics.

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Wayne H. Wolf, Saibal Mukhopadhyay, Se Hun Kim, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2017, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Saibal Mukhopadhyay, Duckhwan Kim, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Kaushik Roy, Animesh Datta, Swarup Bhunia, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Satish Kumar, Saibal Mukhopadhyay, Sayeef Salahuddin, 2013, JETC.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Saibal Mukhopadhyay, Zhimin Wan, Yogendra Joshi, 2016, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Kaushik Roy, Chris H. Kim, Saibal Mukhopadhyay, 2003, ISLPED '03.

Swarup Bhunia, Saibal Mukhopadhyay, Xinmu Wang, 2012, IEEE Design & Test of Computers.

Satish Kumar, Monodeep Kar, Saibal Mukhopadhyay, 2019, IEEE Internet of Things Journal.

Ching-Te Chuang, Saibal Mukhopadhyay, Keunwoo Kim, 2008, ISQED 2008.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Arun Rodrigues, 2012, SimuTools.

Vivek De, Monodeep Kar, Saibal Mukhopadhyay, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

Saibal Mukhopadhyay, Sek M. Chai, David C. Zhang, 2018, J. Signal Process. Syst..

Swarup Bhunia, Muneeb Zia, Saibal Mukhopadhyay, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2005 .

Kaushik Roy, Saibal Mukhopadhyay, Aditya Bansal, 2005, ICCAD.

Kaushik Roy, Amit Agarwal, Saibal Mukhopadhyay, 2006, IEEE Custom Integrated Circuits Conference 2006.

Saibal Mukhopadhyay, Duckhwan Kim, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Satish Kumar, Sudhakar Yalamanchili, Saibal Mukhopadhyay, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Jaeha Kung, 2013, 2013 IEEE 22nd Conference on Electrical Performance of Electronic Packaging and Systems.

Saibal Mukhopadhyay, Borislav Alexandrov, Khondker Z. Ahmed, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Saibal Mukhopadhyay, Priyabrata Saha, Burhan Ahmad Mudassar, 2018, 2018 15th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS).

Swarup Bhunia, Somnath Paul, Saibal Mukhopadhyay, 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Saibal Mukhopadhyay, Khondker Z. Ahmed, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Saibal Mukhopadhyay, Jong Hwan Ko, Taesik Na, 2017, ICLR.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, IEEE Computer Architecture Letters.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Amit Ranjan Trivedi, Wen Yueh, 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

Saibal Mukhopadhyay, Priyabrata Saha, S. Mukhopadhyay, 2020, IEEE Access.

Madhavan Swaminathan, Saibal Mukhopadhyay, Justin Romberg, 2021, 2021 IEEE Radar Conference (RadarConf21).

Marilyn Wolf, Saibal Mukhopadhyay, Kruttidipta Samal, 2021, 2021 17th IEEE International Conference on Advanced Video and Signal Based Surveillance (AVSS).

Saibal Mukhopadhyay, Edward Lee, Sung Kyu Lim, 2022, ACM J. Emerg. Technol. Comput. Syst..

Saibal Mukhopadhyay, Arvind Singh, Nael Mizanur Rahman, 2022, IEEE Transactions on Industrial Electronics.

Asif Islam Khan, Saibal Mukhopadhyay, Saurabh Dash, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Saibal Mukhopadhyay, Nikhil Chawla, Harshit Kumar, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Marilyn Wolf, Saibal Mukhopadhyay, Kruttidipta Samal, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yandong Luo, Saibal Mukhopadhyay, Anni Lu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Saibal Mukhopadhyay, Burhan Ahmad Mudassar, Minah Lee, 2021, IEEE Sensors Journal.

Saibal Mukhopadhyay, Biswadeep Chakraborty, Xueyuan She, 2021, IEEE Transactions on Image Processing.

Madhavan Swaminathan, Saibal Mukhopadhyay, Nael Mizanur Rahman, 2021, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Saibal Mukhopadhyay, Biswadeep Chakraborty, 2021 .

Saibal Mukhopadhyay, Biswadeep Chakraborty, S. Mukhopadhyay, 2021, Frontiers in Neuroscience.

Saibal Mukhopadhyay, Nikhil Chawla, Harshit Kumar, 2021, IEEE Transactions on Information Forensics and Security.

Magnus Egerstedt, Saibal Mukhopadhyay, Priyabrata Saha, 2021, IEEE Robotics and Automation Letters.

Edward A. Lee, Saibal Mukhopadhyay, Burhan Ahmad Mudassar, 2022, IEEE Sensors Journal.

Saibal Mukhopadhyay, Nathan Eli Miller, S. Mukhopadhyay, 2021, Scientific Reports.

Marilyn Wolf, Saibal Mukhopadhyay, Priyabrata Saha, 2022, IEEE Transactions on Intelligent Vehicles.

Saibal Mukhopadhyay, Biswadeep Chakraborty, Burhan Ahmad Mudassar, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sudhakar Yalamanchili, He Xiao, Monodeep Kar, 2021, 2021 13th International Conference on Computer and Automation Engineering (ICCAE).

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2003, 2003 Third IEEE Conference on Nanotechnology, 2003. IEEE-NANO 2003..

Saibal Mukhopadhyay, Owen Sullivan, Man Prakash Gupta, 2010 .

Barry P. Linder, Ching-Te Chuang, Chris H. Kim, 2011, 2011 International Reliability Physics Symposium.

Kaushik Roy, Saibal Mukhopadhyay, Arijit Raychowdhury, 2003, ICCAD 2003.

Saibal Mukhopadhyay, Man Prakash Gupta, S. Mukhopadhyay, 2010, 2010 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.

Yogendra Joshi, Saibal Mukhopadhyay, Zhimin Wan, 2014, 20th International Workshop on Thermal Investigations of ICs and Systems.

Saibal Mukhopadhyay, Owen Sullivan, Kavin Manickaraj, 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Saibal Mukhopadhyay, Owen Sullivan, Man Prakash Gupta, 2012 .

Saibal Mukhopadhyay, Borislav Alexandrov, Khondker Z. Ahmed, 2014, 2014 Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).

Melvin A. Breuer, Ching-Te Chuang, Edward J. McCluskey, 2011 .

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Jaeha Kung, 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2012 .