Jae-Joon Kim
发表
Ching-Te Chuang,
Saibal Mukhopadhyay,
Rahul M. Rao,
2008,
2008 IEEE International Symposium on Circuits and Systems.
Yandong Luo,
Xiaoyu Sun,
Shimeng Yu,
2019,
IEEE Micro.
Jae-Joon Kim,
Jinseok Kim,
Jongeun Koo,
2019,
2019 IEEE Custom Integrated Circuits Conference (CICC).
Ching-Te Chuang,
Jae-Joon Kim,
Aditya Bansal,
2007
.
Sunggu Lee,
Dongyoung Kim,
Sungjoo Yoo,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Eunhyeok Park,
Dongyoung Kim,
Sungjoo Yoo,
2016,
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).
Jae-Joon Kim,
Jaeha Kung,
Wooseok Yi,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Sungjoo Yoo,
Jae-Joon Kim,
Haerang Choi,
2020,
2020 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS).
Ching-Te Chuang,
Richard B. Brown,
Rahul M. Rao,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Jinseok Kim,
Jae-Joon Kim,
Taesu Kim,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Jae-Joon Kim,
Youngsoo Shin,
Insup Shin,
2015,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Kaushik Roy,
Dongsoo Lee,
Jae-Joon Kim,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2006,
2006 European Solid-State Device Research Conference.
Sungho Kim,
Jinseok Kim,
Jae-Joon Kim,
2018,
ISLPED.
Kaushik Roy,
Chris H. Kim,
Saibal Mukhopadhyay,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Chi-Ying Tsui,
Kiyoung Choi,
Jae-Joon Kim,
2015,
IFIP Advances in Information and Communication Technology.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Jae-Joon Kim,
Kai Zhao,
Aditya Bansal,
2011,
2011 International Reliability Physics Symposium.
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2007,
2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).
Jinseok Kim,
Jae-Joon Kim,
Taesu Kim,
2019,
2019 Symposium on VLSI Circuits.
Jinseok Kim,
Jae-Joon Kim,
Taesu Kim,
2018,
Front. Neurosci..
Jae-Joon Kim,
Taesu Kim,
Yulhwa Kim,
2020,
ISLPED.
Jae-Joon Kim,
Yulhwa Kim,
Wooseok Yi,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Jae-Joon Kim,
Hai Li,
Xiuyuan Bi,
2012,
2012 IEEE Computer Society Annual Symposium on VLSI.
Ching-Te Chuang,
Richard B. Brown,
Rahul M. Rao,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Kaushik Roy,
Saibal Mukhopadhyay,
Jae-Joon Kim,
2003
.
Dongsoo Lee,
Jae-Joon Kim,
Taesu Kim,
2018,
ICLR.
Kaushik Roy,
Rajiv V. Joshi,
Ching-Te Chuang,
2005,
Sixth international symposium on quality electronic design (isqed'05).
Xiaoyu Sun,
Shimeng Yu,
Jae-Joon Kim,
2020,
IEEE Solid-State Circuits Letters.
Jae-Joon Kim,
Byung-Ju Ahn,
Jae-Hong Hwang,
2010
.
Kaushik Roy,
Rajiv V. Joshi,
Ching-Te Chuang,
2007,
Microelectron. J..
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2007,
2007 IEEE International Workshop on Memory Technology, Design and Testing.
Jae-Joon Kim,
Minah Lee,
Eunhwan Kim,
2017,
2017 IEEE International Solid-State Circuits Conference (ISSCC).
Jae-Joon Kim,
2015,
2015 International SoC Design Conference (ISOCC).
Jae-Joon Kim,
Taesu Kim,
Yulhwa Kim,
2020,
2020 IEEE Custom Integrated Circuits Conference (CICC).
Jae-Joon Kim,
Wooseok Yi,
Junki Park,
2017,
2017 International Symposium on Rapid System Prototyping (RSP).
Jae-Joon Kim,
Yulhwa Kim,
Sungju Ryu,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jae-Joon Kim,
Taesu Kim,
Daehyun Ahn,
2020,
FPGA.
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2008,
26th IEEE VLSI Test Symposium (vts 2008).
Jae-Joon Kim,
Taesu Kim,
Jinseok Kim,
2017,
IEEE Electron Device Letters.
Jae-Joon Kim,
Youngsoo Shin,
Insup Shin,
2014,
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).
Barry P. Linder,
Jae-Joon Kim,
Aditya Bansal,
2011,
2011 IEEE International Integrated Reliability Workshop Final Report.
Keith A. Jenkins,
Rahul M. Rao,
Jae-Joon Kim,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Ching-Te Chuang,
Saibal Mukhopadhyay,
Rahul M. Rao,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Ching-Te Chuang,
Rahul M. Rao,
Jae-Joon Kim,
2009,
Microelectron. Reliab..
Dongsoo Lee,
Jae-Joon Kim,
Taesu Kim,
2018,
ICLR.
Dongsoo Lee,
Jae-Joon Kim,
Sang Phill Park,
2011,
ISLPED 2011.
Jae-Joon Kim,
Jongeun Koo,
Sungju Ryu,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
C.H. Kim,
Jae-Joon Kim,
H. Ananthan,
2004,
2004 IEEE International SOI Conference (IEEE Cat. No.04CH37573).
Jae-Joon Kim,
Hyungjun Kim,
Yulhwa Kim,
2018,
ISLPED.
Jae-Joon Kim,
R. Rao,
A. Bansal,
2012,
2012 IEEE International Reliability Physics Symposium (IRPS).
Kaushik Roy,
Jae-Joon Kim,
2006
.
Kaushik Roy,
Ching-Te Chuang,
Saibal Mukhopadhyay,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jae-Joon Kim,
Yu-Shiang Lin,
Youngsoo Shin,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jae-Joon Kim,
Yulhwa Kim,
Hyungjun Kim,
2018,
ArXiv.
Jae-Joon Kim,
Sungju Ryu,
Naebeom Park,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Ching-Te Chuang,
Jae-Joon Kim,
Shih-Hsien Lo,
2009,
IEEE Electron Device Letters.
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2008,
IEEE Transactions on Electron Devices.
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2009,
IEEE Journal of Solid-State Circuits.
Jae-Joon Kim,
Yulhwa Kim,
Hyungjun Kim,
2019,
ASP-DAC.
Jae-Joon Kim,
2007
.
Jae-Joon Kim,
K.A. Jenkins,
R. Rao,
2009,
IEEE Journal of Solid-State Circuits.
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2005,
2005 IEEE International SOI Conference Proceedings.
Kaushik Roy,
Chris H. Kim,
Saibal Mukhopadhyay,
2003,
ISLPED '03.
Jae-Joon Kim,
Yu-Shiang Lin,
Youngsoo Shin,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Kaushik Roy,
Jae-Joon Kim,
Ik Joon Chang,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Rahul M. Rao,
Jae-Joon Kim,
Keunwoo Kim,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Ching-Te Chuang,
Jae-Joon Kim,
Keunwoo Kim,
2007,
2007 IEEE International Conference on Integrated Circuit Design and Technology.