Yier Jin

发表

Tsung-Yi Ho, Tzungyu Tsai, Kaichen Yang, 2020, AAAI.

Juan Wang, Yier Jin, Zhi Hong, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hu He, Huaqiang Wu, Yier Jin, 2018, 2018 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Yier Jin, Shaojie Zhang, James Geist, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Xinwen Fu, Yier Jin, Bryan Pearson, 2019, IFIPIoT.

Zhen Ling, Xinwen Fu, Yier Jin, 2017, GLOBECOM 2017 - 2017 IEEE Global Communications Conference.

Yier Jin, Orlando Arias, Fahim Rahman, 2020, Modeling and Design of Secure Internet of Things.

Jia Di, Shaojie Zhang, Yier Jin, 2018, 2018 IEEE 3rd International Verification and Security Workshop (IVSW).

Yiqiang Zhao, Yier Jin, Travis Meade, 2019, Integr..

Yiorgos Makris, Yier Jin, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Haibin Shen, Yier Jin, Yier Jin, 2008, Inf. Process. Lett..

Bo Yang, Yiorgos Makris, Yier Jin, 2013, 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Yier Jin, Xiaolong Guo, Raj Gautam Dutta, 2015, 2015 16th International Workshop on Microprocessor and SOC Test and Verification (MTV).

Tao Liu, Qi Liu, Yanzhi Wang, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Yier Jin, Kaveh Shamsi, 2019, IEEE Transactions on Information Forensics and Security.

Mark Mohammad Tehranipoor, Yier Jin, Apostol Vassilev, 2019, 2019 IEEE 37th VLSI Test Symposium (VTS).

Gang Qu, Yier Jin, Bao Liu, 2015, 2015 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics).

Wei Sun, Yier Jin, Kelvin Ly, 2016, 2016 IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS).

Yier Jin, Kaveh Shamsi, Wujie Wen, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yier Jin, Orlando Arias, Kelvin Ly, 2017 .

Shiyan Hu, Mark Tehranipoor, Yier Jin, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Yiorgos Makris, Yier Jin, Eric Love, 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.

Yiorgos Makris, Yier Jin, Eric Love, 2012, IEEE Transactions on Information Forensics and Security.

Charles A. Kamhoua, Kevin A. Kwiat, Teng Zhang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ahmad-Reza Sadeghi, David Gens, Yier Jin, 2017, RAID.

Yier Jin, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yier Jin, Bryan Pearson, Nathalie Domingo, 2017, 2017 International Conference on Computing, Networking and Communications (ICNC).

Shaojie Zhang, Yier Jin, Travis Meade, 2019, ASP-DAC.

Yi Zhang, Shuai Zhang, Sandip Ray, 2018, J. Hardw. Syst. Secur..

David Z. Pan, Yier Jin, Yibo Lin, 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Ahmad-Reza Sadeghi, David Gens, Lucas Davi, 2017, ArXiv.

Yier Jin, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Aria Nosratinia, Yu Liu, Yiorgos Makris, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yier Jin, Jiaji He, Xiaolong Guo, 2017, 2017 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

Mark Mohammad Tehranipoor, Shaojie Zhang, Yier Jin, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiorgos Makris, Yier Jin, Y. Makris, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Yier Jin, Xiaolong Guo, Raj Gautam Dutta, 2016, 2016 29th IEEE International System-on-Chip Conference (SOCC).

Yiorgos Makris, Yier Jin, Xiaolong Guo, 2017, IEEE Transactions on Information Forensics and Security.

Tao Liu, Gang Quan, Yier Jin, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Feng Yu, Teng Zhang, Yier Jin, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mark Mohammad Tehranipoor, Kun Yang, Domenic Forte, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiorgos Makris, Yier Jin, Y. Makris, 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.

Charles A. Kamhoua, Kevin A. Kwiat, Yier Jin, 2017, 2017 IEEE 15th Intl Conf on Dependable, Autonomic and Secure Computing, 15th Intl Conf on Pervasive Intelligence and Computing, 3rd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress(DASC/PiCom/DataCom/CyberSciTech).

Jeyavijayan Rajendran, Ramesh Karri, Ozgur Sinanoglu, 2013, 2013 18th IEEE European Test Symposium (ETS).

Mark Mohammad Tehranipoor, Shiyan Hu, Yier Jin, 2016, IEEE Trans. Multi Scale Comput. Syst..

Pingqiang Zhou, Zhang Chen, Tsung-Yi Ho, 2016, 2016 IEEE Asian Hardware-Oriented Security and Trust (AsianHOST).

Michael T. Niemier, Xiaobo Sharon Hu, An Chen, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ahmad-Reza Sadeghi, Yier Jin, Nancy Cam-Winget, 2016, DAC.

Yu Bi, François-Xavier Standaert, Yier Jin, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xinwen Fu, Yier Jin, Zhiqiang Lin, 2020, USENIX Security Symposium.

Sandip Ray, Yier Jin, 2017, J. Hardw. Syst. Secur..

Giovanni De Micheli, Michael T. Niemier, Xiaobo Sharon Hu, 2016, JETC.

Prabhat Mishra, Yier Jin, Xiaolong Guo, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Meng Li, David Z. Pan, Yier Jin, 2017, ACM Great Lakes Symposium on VLSI.

Qingxu Deng, Yier Jin, Yumin Hou, 2019, 2019 20th International Workshop on Microprocessor/SoC Test, Security and Verification (MTV).

Michael T. Niemier, Xiaobo Sharon Hu, Yu Bi, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Yiorgos Makris, Yier Jin, Dzmitry Maliuk, 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

Tao Liu, Yier Jin, Wujie Wen, 2018, 2018 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Shaojie Zhang, Yier Jin, Travis Meade, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Ahmad-Reza Sadeghi, Ghada Dessouky, Yier Jin, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mark Mohammad Tehranipoor, Yier Jin, Orlando Arias, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Michail Maniatakos, Shiyan Hu, James F. Plusquellic, 2015, 2015 20th IEEE European Test Symposium (ETS).

Yier Jin, Xiaolong Guo, Raj Gautam Dutta, 2017 .

Yier Jin, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Ahmad-Reza Sadeghi, Lucas Davi, Yier Jin, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiorgos Makris, Nathan Kupp, Yier Jin, 2009, 2009 IEEE International Workshop on Hardware-Oriented Security and Trust.

Michael T. Niemier, Xiaobo Sharon Hu, Yu Bi, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Yiorgos Makris, Yier Jin, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Meng Li, David Z. Pan, Yier Jin, 2018, 2018 IEEE International Test Conference (ITC).

Yier Jin, Kelvin Ly, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Sandip Ray, Arijit Raychowdhury, Yier Jin, 2016, IEEE Design & Test.

Gang Quan, Yier Jin, Wujie Wen, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Jia Di, Shaojie Zhang, Yier Jin, 2018, Journal of Hardware and Systems Security.

Yier Jin, Raj Gautam Dutta, Charalambos Konstantinou, 2020, IET Smart Grid.

Yier Jin, Shiju Li, Anding Wang, 2007, NPC.

Yier Jin, Yier Jin, 2015, Electronics.

Yier Jin, Dean Sullivan, Orlando Arias, 2017, HASP@ISCA.

Mark Mohammad Tehranipoor, Domenic Forte, Xiaolin Xu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Yier Jin, Feng Yu, Yaodan Hu, 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).

Zhen Ling, Xinwen Fu, Chao Gao, 2018, ArXiv.

Haibin Shen, Yier Jin, Rongquan You, 2006, First International Conference on Innovative Computing, Information and Control - Volume I (ICICIC'06).

Michael T. Niemier, Xiaobo Sharon Hu, Yu Bi, 2014, 2014 IEEE 23rd Asian Test Symposium.

Yu Liu, Yiorgos Makris, Yier Jin, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Prabhat Mishra, Yier Jin, Farimah Farahmandi, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Meng Li, David Z. Pan, Yier Jin, 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Yier Jin, Yier Jin, 2014, TrustED '14.

Shaojie Zhang, Yier Jin, Dean Sullivan, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yier Jin, Xiaolong Guo, Raj Gautam Dutta, 2017, IEEE Transactions on Information Forensics and Security.

Yier Jin, Dean Sullivan, Yier Jin, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Meng Li, David Z. Pan, Yier Jin, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Sandip Ray, Yier Jin, S. Ray, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Z. Pan, Yier Jin, Kaveh Shamsi, 2019, ACM Trans. Design Autom. Electr. Syst..

Yier Jin, Kaveh Shamsi, Orlando Arias, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Yiorgos Makris, Yier Jin, Dzmitry Maliuk, 2016 .

David Z. Pan, Shaojie Zhang, Yier Jin, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Mark Mohammad Tehranipoor, Yier Jin, Fahim Rahman, 2017, 2017 18th International Workshop on Microprocessor and SOC Test and Verification (MTV).

Yiorgos Makris, Yier Jin, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Ahmad-Reza Sadeghi, Nancy Cam-Winget, Yier Jin, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiorgos Makris, Yier Jin, Dzmitry Maliuk, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Per Larsen, Ahmad-Reza Sadeghi, Lucas Davi, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiorgos Makris, Yier Jin, Y. Makris, 2010, IEEE Design & Test of Computers.

Meng Li, David Z. Pan, Yier Jin, 2017, ACM Great Lakes Symposium on VLSI.

Yier Jin, Shaojie Zhang, Travis Meade, 2019, 2019 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

Yier Jin, Orlando Arias, Jacob Wurm, 2015, IEEE Transactions on Multi-Scale Computing Systems.

Yier Jin, Dean Sullivan, Orlando Arias, 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Yier Jin, Raj Gautam Dutta, Teng Zhang, 2019, 2019 American Control Conference (ACC).

Jie Wu, Yang Liu, Yu Hu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Tao Liu, Gang Quan, Yier Jin, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Domenic Forte, Mark Tehranipoor, Xiaolin Xu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Haibin Shen, Yier Jin, Aiming Ji, 2006, EUC.

Haocheng Ma, Yiqiang Zhao, Kaichen Yang, 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Prabhat Mishra, Yier Jin, Xiaolong Guo, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yier Jin, Kaveh Shamsi, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Yiorgos Makris, Yier Jin, Xiaolong Guo, 2017, IEEE Transactions on Information Forensics and Security.

Mark Mohammad Tehranipoor, Swarup Bhunia, Sandip Ray, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Prabhat Mishra, Yier Jin, Xiaolong Guo, 2016, 2016 17th International Workshop on Microprocessor and SOC Test and Verification (MTV).

Robert Kozma, Franck Cappello, Prasanna Balaprakash, 2020 .

Michail Maniatakos, Yiorgos Makris, Yier Jin, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Mark Mohammad Tehranipoor, Swarup Bhunia, Domenic Forte, 2018, J. Hardw. Syst. Secur..

Yiqiang Zhao, Yier Jin, Jiaji He, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiorgos Makris, Nathan Kupp, Yier Jin, 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.

Ahmad-Reza Sadeghi, Yier Jin, Orlando Arias, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Meng Li, David Z. Pan, Yier Jin, 2018, ACM Great Lakes Symposium on VLSI.

Michael Niemier, Xiaobo Sharon Hu, Yier Jin, 2017, IEEE Transactions on Emerging Topics in Computing.

Yier Jin, Shaojie Zhang, Travis Meade, 2017, Integr..

Huifeng Zhu, Yier Jin, Dean Sullivan, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Haocheng Ma, Yier Jin, Yiqiang Zhao, 2021, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Yier Jin, Honggang Yu, Haoqi Shan, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Huifeng Zhu, Yier Jin, Xiaolong Guo, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Kaichen Yang, Yier Jin, Raj Gautam Dutta, 2021, SN Computer Science.

Tsung-Yi Ho, Kaichen Yang, Yier Jin, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Yier Jin, Xiaorui Liu, Charalambos Konstantinou, 2021, 2021 IEEE International Conference on Communications, Control, and Computing Technologies for Smart Grids (SmartGridComm).

Nathan Kupp, Yier Jin, 2008 .

Yier Jin, Kelvin Ly, Yier Jin, 2016 .

Yu Bi, Yier Jin, Jiann-Shiun Yuan, 2015 .