Srivaths Ravi

发表

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Srivaths Ravi, Ganesh Lakshminarayana, Anand Raghunathan, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Srivaths Ravi, Praise O. Farayola, Shravan K. Chaganti, 2020, 2020 IEEE 38th VLSI Test Symposium (VTS).

Srivaths Ravi, Swarup Bhunia, Prabhat Mishra, 2015, 2015 28th International Conference on VLSI Design.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Stefan Mangard, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Srivaths Ravi, Anand Raghunathan, Joel Coburn, 2005, Design, Automation and Test in Europe.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Niraj K. Jha, Vamsi Boppana, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2004, 17th International Conference on VLSI Design. Proceedings..

Srivaths Ravi, Hiroto Yasuura, Catherine H. Gebotys, 2002, ISSS.

Srivaths Ravi, Abhay Singh, Milan Shetty, 2010, 2010 IEEE International Test Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Srivaths Ravi, Rubin A. Parekhji, Arvind Jain, 2011, 2011 24th Internatioal Conference on VLSI Design.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Srivaths Ravi, Anand Raghunathan, Mihalis Psarakis, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Anand Raghunathan, Jean-Jacques Quisquater, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ICCAD 2003.

Srivaths Ravi, Anand Raghunathan, Joel Coburn, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Loganathan Lingappan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2006, 11th IEEE Symposium on Computers and Communications (ISCC'06).

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2005, CASES '05.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, ICCAD 2004.

Michail Maniatakos, Srivaths Ravi, Anand Raghunathan, 2008, IEEE Trans. Very Large Scale Integr. Syst..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, 2004, Proceedings. 41st Design Automation Conference, 2004..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Loganathan Lingappan, 2003, Proceedings 21st International Conference on Computer Design.

Srivaths Ravi, V. R. Devanathan, Rubin A. Parekhji, 2007, ICCAD 2007.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, Design, Automation and Test in Europe.

Sujit Dey, Srivaths Ravi, Indradeep Ghosh, 1998, J. Electron. Test..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Vamsi Boppana, 2000, Proceedings 2000 International Conference on Computer Design.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, ICCAD 2002.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ICCAD 2003.

Srivaths Ravi, Rajesh Tiwari, Rubin A. Parekhji, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Srivaths Ravi, Anand Raghunathan, Nachiketh R. Potlapally, 2002, ISSS.

Srivaths Ravi, Rubin A. Parekhji, Srinivas Kumar Vooka, 2008, 2008 17th Asian Test Symposium.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, N. Jha, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, 17th International Conference on VLSI Design. Proceedings..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Srivaths Ravi, Niraj K. Jha, N. Jha, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ICCAD.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005 .

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Mobile Computing.

Srivaths Ravi, V. R. Devanathan, Rubin A. Parekhji, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Rajesh Tiwari, Chandan Kumar, 2014, 2014 IEEE 23rd Asian Test Symposium.

Srivaths Ravi, Rubin A. Parekhji, Jayashree Saxena, 2008, J. Low Power Electron..

Srivaths Ravi, Anand Raghunathan, Paul C. Kocher, 2004, TECS.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, ICCAD 2004.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2003, ISLPED '03.

Srivaths Ravi, Anand Raghunathan, Murugan Sankaradass, 2002, DAC '02.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Srivaths Ravi, Niraj K. Jha, N. Jha, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Sujit Dey, Srivaths Ravi, Indradeep Ghosh, 1998, Proceedings Eleventh International Conference on VLSI Design.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Rubin A. Parekhji, Arvind Jain, 2011, J. Low Power Electron..

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, V. Prasanth, David Foley, 2017, 2017 IEEE International Test Conference (ITC).

Srivaths Ravi, Anand Raghunathan, Srimat T. Chakradhar, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Srivaths Ravi, S. Ravi, 2007, 2007 IEEE International Test Conference.

Srivaths Ravi, Niraj K. Jha, Fei Sun, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Anish Muttreja, Srivaths Ravi, Niraj K. Jha, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Luca Benini, Srivaths Ravi, Anand Raghunathan, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Ganesh Lakshminarayana, Anand Raghunathan, 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2002, ICCAD 2002.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Srivaths Ravi, Ganesh Lakshminarayana, Vijay Raghunathan, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Rajesh Tiwari, Rubin A. Parekhji, 2010, 2010 28th VLSI Test Symposium (VTS).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Srivaths Ravi, Niraj K. Jha, Anand Raghunathan, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Ganesh Lakshminarayana, Vijay Raghunathan, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Srivaths Ravi, Vivek Chickermane, Krishna Chakravadhanula, 2014, VLSI Design.

Srivaths Ravi, Degang Chen, Praise O. Farayola, 2021, IEEE Transactions on Instrumentation and Measurement.

Srivaths Ravi, Degang Chen, Praise O. Farayola, 2021, 2021 IEEE European Test Symposium (ETS).

Srivaths Ravi, Degang Chen, Praise O. Farayola, 2021, 2021 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Srivaths Ravi, Anand Raghunathan, Saurabh Ganeriwal, 2006 .