Niraj K. Jha
发表
Anish Muttreja,
Srivaths Ravi,
Niraj K. Jha,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Sujit Dey,
Niraj K. Jha,
Ganesh Lakshminarayana,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
Bharat P. Dave,
1997,
DAC.
Niraj K. Jha,
Anand Raghunathan,
Najwa Aaraj,
2011,
TECS.
Niraj K. Jha,
Zvi Kohavi,
2009
.
Niraj K. Jha,
1989,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Pallav Gupta,
Lin Zhong,
2003,
ICCAD 2003.
Niraj K. Jha,
2007,
IEEE Trans. Very Large Scale Integr. Syst..
Wei Zhang,
Niraj K. Jha,
Wei Zhang,
2005,
2005 International Conference on Computer Design.
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2010,
2010 10th IEEE International Conference on Computer and Information Technology.
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2013,
2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.
Sujit Dey,
Niraj K. Jha,
Ganesh Lakshminarayana,
1999,
DAC '99.
Niraj K. Jha,
Sourindra Chaudhuri,
2016,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Muzaffer O. Simsir,
2009,
2009 International Test Conference.
Niraj K. Jha,
Shalini Yajnik,
1994
.
Niraj K. Jha,
Steven W. Burns,
1994,
IEEE Trans. Computers.
Hongyang Jia,
Naveen Verma,
Niraj K. Jha,
2018,
IEEE Transactions on Computers.
Li Shang,
Niraj K. Jha,
Anand Raghunathan,
2003,
GLSVLSI '03.
Sudhakar M. Reddy,
Niraj K. Jha,
Sandip Kundu,
1988,
[1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.
Susmita Sur-Kolay,
Niraj K. Jha,
Arsalan Mohsen Nia,
2016,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Chun-Yi Lee,
2008,
JETC.
Niraj K. Jha,
Jiong Luo,
Le Yan,
2003,
ICCAD 2003.
Niraj K. Jha,
Aoxiang Tang,
2013,
JETC.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Dana Chee,
Brendan Englot,
2020,
ArXiv.
Niraj K. Jha,
Anand Raghunathan,
N. Jha,
1994,
Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Niraj K. Jha,
Ajay N. Bhoj,
2010,
JETC.
Niraj K. Jha,
Sying-Jyan Wang,
N. Jha,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Lin Zhong,
2004,
17th International Conference on VLSI Design. Proceedings..
Niraj K. Jha,
1989
.
Niraj K. Jha,
Kamal S. Khouri,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Niraj K. Jha,
1989,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Q. Tong,
1990
.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Rui Zhang,
Niraj K. Jha,
Pallav Gupta,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Robert P. Dick,
N. Jha,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Niraj K. Jha,
1988,
IEEE Trans. Computers.
Niraj K. Jha,
Konstantinos I. Diamantaras,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Sourindra Chaudhuri,
Ajay N. Bhoj,
2016,
2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).
Srivaths Ravi,
Niraj K. Jha,
Vamsi Boppana,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Sandeep Bhatia,
1993,
The Sixth International Conference on VLSI Design.
Niraj K. Jha,
Xiaoliang Dai,
Hongxu Yin,
2017,
IEEE Transactions on Computers.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Niraj K. Jha,
Aoxiang Tang,
N. Jha,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Martin Rötteler,
Srihari Cadambi,
2009,
JETC.
Niraj K. Jha,
Amit Patra,
Santanu Kapat,
2013,
2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.
SPRING: A Sparsity-Aware Reduced-Precision Monolithic 3D CNN Accelerator Architecture for Training and Inference
pdf
Niraj K. Jha,
Ye Yu,
N. Jha,
2019,
IEEE Transactions on Emerging Topics in Computing.
Irith Pomeranz,
Sudhakar M. Reddy,
Niraj K. Jha,
1992,
[1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.
Niraj K. Jha,
Zeyu Wang,
Hongxu Yin,
2018,
IEEE Transactions on Multi-Scale Computing Systems.
Niraj K. Jha,
Anand Raghunathan,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Niraj K. Jha,
Lin Zhong,
Le Yan,
2007,
BODYNETS.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007
.
Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Niraj K. Jha,
Sandeep Bhatia,
1994,
Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems
Niraj K. Jha,
Robert P. Dick,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Niraj K. Jha,
Bharat P. Dave,
N. Jha,
1998,
Proceedings Design, Automation and Test in Europe.
Niraj K. Jha,
N. Jha,
2011,
IEEE Transactions on Very Large Scale Integration (vlsi) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
James Donald,
N. Jha,
2008,
JETC.
Niraj K. Jha,
Amlan Chakrabarti,
Mrityunjay Ghosh,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Amlan Chakrabarti,
Chia-Chun Lin,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Lin Zhong,
Le Yan,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Rui Zhang,
Niraj K. Jha,
N. Jha,
2006,
GLSVLSI '06.
Niraj K. Jha,
Yang Yang,
2013,
2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.
Susmita Sur-Kolay,
Niraj K. Jha,
Anand Raghunathan,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Niraj K. Jha,
Anand Raghunathan,
Sujit Dey,
1998
.
Niraj K. Jha,
Kenza Hamidouche,
Prerit Terway,
2020,
ArXiv.
Niraj K. Jha,
Sandeep Bhatia,
N. Jha,
1994,
Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.
Niraj K. Jha,
Jennifer Rexford,
1994,
IEEE Trans. Parallel Distributed Syst..
Niraj K. Jha,
Arsalan Mosenia,
Hongxu Yin,
2018,
Found. Trends Electron. Des. Autom..
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2013,
2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Niraj K. Jha,
Lin Zhong,
N. Jha,
2005,
MobiSys '05.
Susmita Sur-Kolay,
Niraj K. Jha,
Anand Raghunathan,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
2000,
Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).
Niraj K. Jha,
1989
.
Niraj K. Jha,
1992
.
Niraj K. Jha,
Jiong Luo,
2003,
16th International Conference on VLSI Design, 2003. Proceedings..
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1997,
DAC.
Niraj K. Jha,
Lin Zhong,
N. Jha,
2006,
IEEE Transactions on Mobile Computing.
Niraj K. Jha,
Chun-Yi Lee,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Rajiv V. Joshi,
Niraj K. Jha,
Ajay N. Bhoj,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Susmita Sur-Kolay,
Niraj K. Jha,
Anand Raghunathan,
2017,
IEEE Transactions on Computers.
Niraj K. Jha,
Robert P. Dick,
N. Jha,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Sying-Jyan Wang,
Phillip C. Gripka,
1992,
Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2013,
IEEE Embedded Systems Letters.
Niraj K. Jha,
Loganathan Lingappan,
Vijay Gangaram,
2009,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Anand Raghunathan,
Najwa Aaraj,
2008,
TECS.
Niraj K. Jha,
Anand Raghunathan,
T. K. Tan,
2002,
2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
Wei Zhang,
Li Shang,
Niraj K. Jha,
2007,
2007 44th ACM/IEEE Design Automation Conference.
Niraj K. Jha,
Santhanam Srinivasan,
1993,
Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.
Niraj K. Jha,
Ayten Ozge Akmandor,
Hongxu YIN,
2018,
IEEE Transactions on Multi-Scale Computing Systems.
Niraj K. Jha,
Yunsi Fei,
N. Jha,
2005,
Int. J. Embed. Syst..
Niraj K. Jha,
Loganathan Lingappan,
Pallav Gupta,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Niraj K. Jha,
2009,
IEEE Trans. Very Large Scale Integr. Syst..
Niraj K. Jha,
Indradeep Ghosh,
1998,
Integr..
Niraj K. Jha,
2005,
EUC.
Niraj K. Jha,
Lin Zhong,
Yunsi Fei,
2004,
The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings..
Li Shang,
Niraj K. Jha,
2001,
Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.
Niraj K. Jha,
Lin Zhong,
Pallav Gupta,
2003,
ICCAD.
Susmita Sur-Kolay,
Niraj K. Jha,
Anand Raghunathan,
2015,
IEEE Transactions on Multi-Scale Computing Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Jiong Luo,
N. Jha,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
2009,
2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Niraj K. Jha,
Anand Raghunathan,
Jun Wei Chuah,
2013
.
Niraj K. Jha,
Lin Zhong,
Yunsi Fei,
2008,
TECS.
Niraj K. Jha,
Ye Yu,
N. Jha,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Li Shang,
Niraj K. Jha,
Amit Kumar,
2004,
37th International Symposium on Microarchitecture (MICRO-37'04).
Naveen Verma,
Niraj K. Jha,
Mohammed Shoaib,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
1989,
[1989] The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.
Niraj K. Jha,
Bharat P. Dave,
N. Jha,
1997,
Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.
Niraj K. Jha,
Jiong Luo,
N. Jha,
2002,
HiPC.
Niraj K. Jha,
Anand Raghunathan,
Vijay Raghunathan,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Niraj K. Jha,
Loganathan Lingappan,
Vijay Gangaram,
2007,
20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
ICCAD 2003.
Niraj K. Jha,
Ganesh Lakshminarayana,
Tat Kee Tan,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Naveen Verma,
Niraj K. Jha,
Mohammed Shoaib,
2012,
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.
Niraj K. Jha,
Q. Tong,
1990
.
Niraj K. Jha,
Prateek Mishra,
Sourindra Chaudhuri,
2012,
2012 25th International Conference on VLSI Design.
Niraj K. Jha,
Yiming Wu,
Peter Vajda,
2018,
2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Rui Zhang,
Niraj K. Jha,
Pallav Gupta,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Niraj K. Jha,
1989
.
Sujit Dey,
Niraj K. Jha,
Indradeep Ghosh,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Sujit Dey,
Niraj K. Jha,
Indradeep Ghosh,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Q. Tong,
1990,
IEEE International Symposium on Circuits and Systems.
Niraj K. Jha,
1989,
Proceedings of the 32nd Midwest Symposium on Circuits and Systems,.
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2011,
2011 IEEE 13th International Conference on e-Health Networking, Applications and Services.
Niraj K. Jha,
Chun-Yi Lee,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Xianmin Chen,
2014,
JETC.
Niraj K. Jha,
Aoxiang Tang,
2013,
JETC.
Niraj K. Jha,
Sudipta Bhawmik,
Indradeep Ghosh,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Srivaths Ravi,
Niraj K. Jha,
Loganathan Lingappan,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Xiaoliang Dai,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Li Shang,
Niraj K. Jha,
Robert P. Dick,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2013,
2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.
Niraj K. Jha,
Tien-Chien Lee,
Wayne H. Wolf,
1992,
ICCAD.
Niraj K. Jha,
N. Jha,
2023,
2018 IEEE International Conference on Consumer Electronics (ICCE).
Niraj K. Jha,
Ayten Ozge Akmandor,
N. Jha,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007
.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
SHARKS: Smart Hacking Approaches for RisK Scanning in Internet-of-Things and Cyber-Physical Systems based on Machine Learning
pdf
Niraj K. Jha,
Najwa Aaraj,
Tanujay Saha,
2021,
ArXiv.
Wei Zhang,
Li Shang,
Niraj K. Jha,
2010,
JETC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Niraj K. Jha,
Lin Zhong,
Mike Sinclair,
2005,
Mobile HCI.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Niraj K. Jha,
1990,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Chia-Chun Lin,
N. Jha,
2014,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Keith S. Vallerio,
2002,
2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
Anish Muttreja,
Srivaths Ravi,
Niraj K. Jha,
2006,
11th IEEE Symposium on Computers and Communications (ISCC'06).
Niraj K. Jha,
Anand Raghunathan,
Srivaths Ravi,
2007
.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Algorithm-Driven Architectural Design Space Exploration of Domain-Specific Medical-Sensor Processors
Naveen Verma,
Niraj K. Jha,
Mohammed Shoaib,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Zvi Kohavi,
2009
.
Susmita Sur-Kolay,
Niraj K. Jha,
Mehran Mozaffari Kermani,
2015,
IEEE Journal of Biomedical and Health Informatics.
Niraj K. Jha,
Keith S. Vallerio,
2004
.
Niraj K. Jha,
Sying-Jyan Wang,
1993
.
Niraj K. Jha,
Anand Raghunathan,
Jun Wei Chuah,
2010,
IEEE PES General Meeting.
Naveen Verma,
Niraj K. Jha,
Mohammed Shoaib,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Niraj K. Jha,
Guoyang Chen,
Weifeng Zhang,
2019,
ArXiv.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Niraj K. Jha,
Keith S. Vallerio,
2003,
16th International Conference on VLSI Design, 2003. Proceedings..
Robert Wille,
Niraj K. Jha,
Amlan Chakrabarti,
2015,
RC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
Li Shang,
Niraj K. Jha,
Amit Kumar,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Bongjun Ko,
Ayten Ozge Akmandor,
2019,
IEEE Transactions on Computers.
Niraj K. Jha,
Debajit Bhattacharya,
N. Jha,
2016,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
ICCAD 2004.
Niraj K. Jha,
Gopal Gupta,
1988,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Qiao Tong,
1990
.
Niraj K. Jha,
Ye Yu,
Weifeng Zhang,
2019,
IEEE Transactions on Computers.
Wei Zhang,
Li Shang,
Niraj K. Jha,
2009,
JETC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Niraj K. Jha,
Lin Zhong,
Jiong Luo,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamd S. Khouri,
1997
.
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1996,
Proceedings of International Conference on Computer Aided Design.
Niraj K. Jha,
Anand Raghunathan,
Najwa Aaraj,
2007
.
Niraj K. Jha,
Tien-Chien Lee,
Wayne H. Wolf,
1993,
Proceedings of IEEE International Test Conference - (ITC).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Jacob A. Abraham,
1984
.
Naveen Verma,
Niraj K. Jha,
Jie Lu,
2016,
IEEE Transactions on Computers.
Niraj K. Jha,
Anand Raghunathan,
N. Jha,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
T. K. Tan,
2004
.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
1999,
Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
Niraj K. Jha,
Lin Zhong,
2003,
CASES '03.
Niraj K. Jha,
Ayten Ozge Akmandor,
2018,
Computer.
Niraj K. Jha,
Ramesh K. Sitaraman,
1993,
IEEE Trans. Computers.
Niraj K. Jha,
Lin Zhong,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2012,
TECS.
Niraj K. Jha,
Lin Zhong,
Le Yan,
2005,
13th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1996,
ISLPED.
Niraj K. Jha,
Wayne Wolf,
Tien-Chien Lee,
1992,
1992 IEEE/ACM International Conference on Computer-Aided Design.
MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems
Niraj K. Jha,
Robert P. Dick,
1997,
ICCAD 1997.
Niraj K. Jha,
Ramesh K. Sitaraman,
1991,
Fault-Tolerant Computing Systems.
Niraj K. Jha,
Hongxu Yin,
Ayten Ozge Akmandor,
2018,
2018 IEEE Custom Integrated Circuits Conference (CICC).
Niraj K. Jha,
Jiong Luo,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Anish Muttreja,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Abdullah Guler,
2016,
ACM J. Emerg. Technol. Comput. Syst..
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2014,
Proceedings of the IEEE.
Niraj K. Jha,
Prateek Mishra,
Sourindra Chaudhuri,
2014,
JETC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Prateek Mishra,
N. Jha,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Anish Muttreja,
Niraj K. Jha,
Niket Agarwal,
2007,
2007 25th International Conference on Computer Design.
Wei Zhang,
Li Shang,
Niraj K. Jha,
2009,
JETC.
Niraj K. Jha,
Jacob A. Abraham,
1985,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Zvi Kohavi,
N. Jha,
2010
.
Rui Zhang,
Niraj K. Jha,
Lin Zhong,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
K. S. Khouri,
1997,
ICCAD 1997.
Niraj K. Jha,
Steven W. Burns,
1992,
Digest of Papers. 1992 IEEE VLSI Test Symposium.
Anish Muttreja,
Srivaths Ravi,
Niraj K. Jha,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
2009,
2009 IEEE 15th International Symposium on High Performance Computer Architecture.
Srivaths Ravi,
Niraj K. Jha,
Loganathan Lingappan,
2003,
Proceedings 21st International Conference on Computer Design.
Niraj K. Jha,
Muzaffer O. Simsir,
Ajay N. Bhoj,
2010,
2010 IEEE/ACM International Symposium on Nanoscale Architectures.
Niraj K. Jha,
Anand Raghunathan,
N. Jha,
1995,
ICCAD.
Niraj K. Jha,
Ganesh Lakshminarayana,
N. Jha,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Niraj K. Jha,
Xianmin Chen,
N. Jha,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Shalini Yajnik,
1997,
IEEE Trans. Parallel Distributed Syst..
Niraj K. Jha,
Ajay N. Bhoj,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Abha Ahuja,
N. Jha,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Keith S. Vallerio,
N. Jha,
2004,
ESA/VLSI.
Niraj K. Jha,
Loganathan Lingappan,
2005,
23rd IEEE VLSI Test Symposium (VTS'05).
Niraj K. Jha,
Lin Zhong,
Keith S. Vallerio,
2004,
IEEE Transactions on Mobile Computing.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
Design, Automation and Test in Europe.
Niraj K. Jha,
Sandeep Gupta,
2003
.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
1996,
Proceedings of Annual Symposium on Fault Tolerant Computing.
Bapiraju Vinnakota,
Niraj K. Jha,
1991,
Proceedings of the European Conference on Design Automation..
Niraj K. Jha,
Anand Raghunathan,
Tat Kee Tan,
2002,
Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
A low overhead design for testability and test generation technique for core-based systems-on-a-chip
Sujit Dey,
Niraj K. Jha,
Indradeep Ghosh,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Anand Raghunathan,
Tat Kee Tan,
2005,
TECS.
Niraj K. Jha,
Indradeep Ghosh,
Anand Raghunathan,
1996,
ICCAD 1996.
Niraj K. Jha,
Xiaoliang Dai,
Shayan Hassantabar,
2019,
ArXiv.
Niraj K. Jha,
Lin Zhong,
N. Jha,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Jennifer Rexford,
1992,
[Proceedings] 1992 IEEE International Symposium on Circuits and Systems.
Bapiraju Vinnakota,
Niraj K. Jha,
1994,
IEEE Trans. Parallel Distributed Syst..
Niraj K. Jha,
Q. Tong,
1991
.
Niraj K. Jha,
Sourindra Chaudhuri,
2014,
2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.
Niraj K. Jha,
Loganathan Lingappan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Sandip Kundu,
1990
.
Niraj K. Jha,
Steven M. Nowick,
Fu-Chiung Cheng,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Robert P. Dick,
Li Shang,
2007
.
Niraj K. Jha,
Q. Tong,
1991
.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Robert P. Dick,
N. Jha,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1997,
DAC.
Niraj K. Jha,
Chun-Yi Lee,
2009,
2009 IEEE International Conference on Computer Design.
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Niraj K. Jha,
Anand Raghunathan,
Weidong Wang,
2004,
17th International Conference on VLSI Design. Proceedings..
Wei Zhang,
Niraj K. Jha,
Ting-Jung Lin,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Wei Zhang,
Niraj K. Jha,
Ting-Jung Lin,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Li Shang,
Niraj K. Jha,
Robert P. Dick,
2002,
IASTED PDCS.
Niraj K. Jha,
Sying-Jyan Wang,
1990
.
Three-Dimensional Monolithic FinFET-Based 8T SRAM Cell Design for Enhanced Read Time and Low Leakage
Niraj K. Jha,
Abdullah Guler,
N. Jha,
2019,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Vamsi Boppana,
2000,
Proceedings 2000 International Conference on Computer Design.
Niraj K. Jha,
Xiaoliang Dai,
Hongxu Yin,
2020,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Sying-Jyan Wang,
1991,
[1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Bapiraju Vinnakota,
Niraj K. Jha,
1990,
[1990] Digest of Papers. Fault-Tolerant Computing: 20th International Symposium.
Niraj K. Jha,
Debajit Bhattacharya,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Niraj K. Jha,
Ajay N. Bhoj,
N. Jha,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Naveen Verma,
Niraj K. Jha,
Shuayb Zarar,
2011
.
Bapiraju Vinnakota,
Niraj K. Jha,
1993,
IEEE Trans. Parallel Distributed Syst..
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
1998,
1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2002,
ICCAD 2002.
Niraj K. Jha,
Abdullah Guler,
N. Jha,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
ICCAD 2003.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2007,
ICCD.
Niraj K. Jha,
Ganesh Lakshminarayana,
Bharat P. Dave,
1999,
IEEE Trans. Very Large Scale Integr. Syst..
Naveen Verma,
Niraj K. Jha,
Kyong-Ho Lee,
2014,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Niraj K. Jha,
Andres R. Takach,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Arsalan Mosenia,
N. Jha,
2017,
IEEE Transactions on Emerging Topics in Computing.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Niraj K. Jha,
Debajit Bhattacharya,
2014
.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Debajit Bhattacharya,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Niraj K. Jha,
Anand Raghunathan,
Tat Kee Tan,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Sujit Dey,
Niraj K. Jha,
Ganesh Lakshminarayana,
1999,
IEEE Trans. Very Large Scale Integr. Syst..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Li Shang,
Niraj K. Jha,
Wei Zhang,
2011
.
Anish Muttreja,
Niraj K. Jha,
Prateek Mishra,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Srivaths Ravi,
Niraj K. Jha,
N. Jha,
2001,
VLSI Design 2001. Fourteenth International Conference on VLSI Design.
Niraj K. Jha,
Jun Wei Chuah,
Sreya Basuroy,
2013,
2013 IEEE Power & Energy Society General Meeting.
Niraj K. Jha,
Amlan Chakrabarti,
Chia-Chun Lin,
2012,
2012 IEEE Computer Society Annual Symposium on VLSI.
Niraj K. Jha,
Anand Raghunathan,
Tat Kee Tan,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Rui Zhang,
Niraj K. Jha,
N. Jha,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2007,
ISCA '07.
Niraj K. Jha,
Ye Yu,
N. Jha,
2018,
IEEE Transactions on Nanotechnology.
Niraj K. Jha,
1998,
J. Electron. Test..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
17th International Conference on VLSI Design. Proceedings..
Sujit Dey,
Niraj K. Jha,
Ganesh Lakshminarayana,
1998,
ICCAD.
Niraj K. Jha,
Loganathan Lingappan,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Niraj K. Jha,
Sandeep Bhatia,
1993,
Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Srivaths Ravi,
Niraj K. Jha,
N. Jha,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Sandip Kundu,
N. Jha,
1989
.
Niraj K. Jha,
Pallav Gupta,
N. Jha,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Niraj K. Jha,
Bharat P. Dave,
1998,
Proceedings Eleventh International Conference on VLSI Design.
Niraj K. Jha,
Santhanam Srinivasan,
N. Jha,
1999,
IEEE Trans. Parallel Distributed Syst..
Li Shang,
Niraj K. Jha,
Amit Kumar,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Hongyang Jia,
Niraj K. Jha,
Jie Lu,
2017,
2017 Symposium on VLSI Circuits.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
ICCAD.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005
.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
Niraj K. Jha,
Carol Q. Tong,
1990,
Proceedings of the European Design Automation Conference, 1990., EDAC..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
A study of the energy consumption characteristics of cryptographic algorithms and security protocols
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Mobile Computing.
Niraj K. Jha,
Chun-Yi Lee,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Anish Muttreja,
Niraj K. Jha,
Prateek Mishra,
2008,
2008 IEEE International Symposium on Nanoscale Architectures.
Niraj K. Jha,
N. Jha,
1986,
ITC.
Niraj K. Jha,
Jacob A. Abraham,
N. Jha,
1985
.
Niraj K. Jha,
N. Jha,
2005
.
Niraj K. Jha,
Jiong Luo,
N. Jha,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Hongxu Yin,
Wenhan Xia,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Niraj K. Jha,
Bharat P. Dave,
N. Jha,
1999
.
Niraj K. Jha,
Robert P. Dick,
1998,
ICCAD.
Niraj K. Jha,
Xiaoliang Dai,
Hongxu Yin,
2018,
IEEE Transactions on Computers.
Niraj K. Jha,
Xiaoliang Dai,
2017,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Amit Kumar,
Li-Shiuan Peh,
2008,
2008 IEEE International Symposium on Parallel and Distributed Processing.
Niraj K. Jha,
Loganathan Lingappan,
N. Jha,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Rui Zhang,
Niraj K. Jha,
Pallav Gupta,
2004,
IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
Niraj K. Jha,
Aoxiang Tang,
Xun Gao,
2016,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2012,
IEEE Transactions on Services Computing.
Niraj K. Jha,
Jacob A. Abraham,
N. Jha,
1984
.
Niraj K. Jha,
Shalini Yajnik,
1992,
Proceedings Sixth International Parallel Processing Symposium.
Niraj K. Jha,
Sudipta Bhawmik,
Indradeep Ghosh,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
CovidDeep: SARS-CoV-2/COVID-19 Test Based on Wearable Medical Sensors and Efficient Neural Networks
pdf
Niraj K. Jha,
Raffaele Bruno,
Alessandra Ferrari,
2020,
IEEE Transactions on Consumer Electronics.
Niraj K. Jha,
Yang Yang,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2000,
Proceedings 37th Design Automation Conference.
Niraj K. Jha,
Xiaoliang Dai,
Hongxu Yin,
2019,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Rajiv V. Joshi,
Niraj K. Jha,
Herschel A. Ainspan,
2014,
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.
Niraj K. Jha,
Lin Zhong,
N. Jha,
2005
.
Niraj K. Jha,
Ayten Ozge Akmandor,
N. Jha,
2018,
IEEE Consumer Electronics Magazine.
Niraj K. Jha,
1989,
Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Yunsi Fei,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Niraj K. Jha,
N. Jha,
2017,
ACM Great Lakes Symposium on VLSI.
Niraj K. Jha,
Sandip Kundu,
1990
.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
2003,
16th International Conference on VLSI Design, 2003. Proceedings..
Niraj K. Jha,
Kamal S. Khouri,
N. Jha,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Wei Zhang,
Li Shang,
Niraj K. Jha,
2009,
JETC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
ICCAD 2004.
Niraj K. Jha,
Abdullah Guler,
2020,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2003,
ISLPED '03.
Niraj K. Jha,
Steven M. Nowick,
Fu-Chiung Cheng,
1995,
Proceedings of the 8th International Conference on VLSI Design.
Niraj K. Jha,
B. Vinnakota,
1989,
Proceedings of the 32nd Midwest Symposium on Circuits and Systems,.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Niraj K. Jha,
B. Vinnakota,
B. Vinnakota,
1992,
[1992] Proceedings The European Conference on Design Automation.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1996,
Proceedings of International Conference on Computer Aided Design.
Niraj K. Jha,
Prateek Mittal,
Xiaoliang Dai,
2018,
IEEE Transactions on Multi-Scale Computing Systems.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
Niraj K. Jha,
Zvi Kohavi,
Zvi Kohavi,
2009
.
Niraj K. Jha,
Xiaoliang Dai,
Ayten Ozge Akmandor,
2021,
IEEE Transactions on Systems, Man, and Cybernetics: Systems.
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
P Gupta,
L Lingappan,
2007
.
Niraj K. Jha,
Ajay N. Bhoj,
2011,
2011 12th International Symposium on Quality Electronic Design.
Niraj K. Jha,
Amlan Chakrabarti,
Chia-Chun Lin,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Li Shang,
Niraj K. Jha,
Robert P. Dick,
2004,
IEEE Transactions on Mobile Computing.
Niraj K. Jha,
Tien-Chien Lee,
Wayne H. Wolf,
1992,
Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
Niraj K. Jha,
Bill Lin,
Luis A. Plana,
1999
.
Niraj K. Jha,
Jiong Luo,
Le Yan,
2003,
ICCAD.
Susmita Sur-Kolay,
Niraj K. Jha,
Chia-Chun Lin,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
1988,
Proceedings 1988 IEEE International Conference on Computer Design: VLSI.
Niraj K. Jha,
Rui Zhang,
Pallav Gupta,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Naveen Verma,
Niraj K. Jha,
Mohammed Shoaib,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Niraj K. Jha,
Yang Yang,
Aoxiang Tang,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Srivaths Ravi,
Niraj K. Jha,
N. Jha,
2001,
Proceedings International Test Conference 2001 (Cat. No.01CH37260).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
TECS.
Niraj K. Jha,
Li-Shiuan Peh,
Jiong Luo,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Prerit Terway,
Shayan Hassantabar,
2020,
ArXiv.
Niraj K. Jha,
Pavlo Molchanov,
Jan Kautz,
2020,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).
Niraj K. Jha,
Shalini Yajnik,
1994
.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1998,
Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
Niraj K. Jha,
Anand Raghunathan,
Kazutoshi Wakabayashi,
1996,
Proceedings of 1996 International Symposium on Low Power Electronics and Design.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2002,
IEEE Computer Architecture Letters.
Niraj K. Jha,
Anand Raghunathan,
Najwa Aaraj,
2012,
TECS.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Anish Muttreja,
Srivaths Ravi,
Niraj K. Jha,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2014,
International Journal of Information Security.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
1998,
Proceedings Design, Automation and Test in Europe.
Sudhakar M. Reddy,
Niraj K. Jha,
Sandip Kundu,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
Niraj K. Jha,
Bharat P. Dave,
N. Jha,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Isaac D. Scherson,
1992
.
Niraj K. Jha,
Anand Raghunathan,
Meng Zhang,
2012
.
Niraj K. Jha,
Jiong Luo,
Le Yan,
2003,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sujit Dey,
Niraj K. Jha,
Ganesh Lakshminarayana,
1998,
Proceedings Eleventh International Conference on VLSI Design.
Niraj K. Jha,
Ganesh Lakshminarayana,
Kamal S. Khouri,
2005,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Muzaffer O. Simsir,
M. O. Simsir,
2010,
2010 IEEE/ACM International Symposium on Nanoscale Architectures.
Niraj K. Jha,
Pallav Gupta,
Abhinav Agrawal,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Sandip Kundu,
1990
.
Niraj K. Jha,
Santhanam Srinivasan,
1995,
ICPP.
Niraj K. Jha,
Zvi Kohavi,
N. Jha,
2009
.
Radu Marculescu,
Majid Sarrafzadeh,
Vishwani D. Agrawal,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Jiong Luo,
2001,
DAC '01.
Niraj K. Jha,
Sourindra Chaudhuri,
N. Jha,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1995,
Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.
Niraj K. Jha,
Sying-Jyan Wang,
1992,
[Proceedings] 1992 IEEE International Symposium on Circuits and Systems.
Niraj K. Jha,
Keith S. Vallerio,
2004,
ESA/VLSI.
Srivaths Ravi,
Niraj K. Jha,
Fei Sun,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Zvi Kohavi,
2009
.
Niraj K. Jha,
Anand Raghunathan,
Sujit Dey,
1996,
ICCAD 1996.
Niraj K. Jha,
Xianmin Chen,
2015,
ACM J. Emerg. Technol. Comput. Syst..
Meng Zhang,
Niraj K. Jha,
Anand Raghunathan,
2013,
IEEE Transactions on Biomedical Circuits and Systems.
Niraj K. Jha,
Jacob A. Abraham,
1985
.
Niraj K. Jha,
1993,
IEEE Trans. Computers.
Niraj K. Jha,
Jacob A. Abraham,
1984
.
Niraj K. Jha,
N. Jha,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Wei Zhang,
Niraj K. Jha,
Ting-Jung Lin,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
N. Jha,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Carol Q. Tong,
1990,
Proceedings., 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Niraj K. Jha,
N. Jha,
1996,
IEEE Parallel & Distributed Technology: Systems & Applications.
Anish Muttreja,
Srivaths Ravi,
Niraj K. Jha,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Rui Zhang,
Niraj K. Jha,
Lin Zhong,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Niraj K. Jha,
Anand Raghunathan,
Chunxiao Li,
2010,
2010 IEEE 3rd International Conference on Cloud Computing.
Niraj K. Jha,
Weidong Wang,
2004
.
Niraj K. Jha,
Sandeep Bhatia,
N. Jha,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Register binding based power management for high-level synthesis of control-flow intensive behaviors
Niraj K. Jha,
Lin Zhong,
Jiong Luo,
2002,
Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1996,
DAC '96.
Niraj K. Jha,
Anand Raghunathan,
Sujit Dey,
1998
.
Rajiv V. Joshi,
Niraj K. Jha,
Ajay N. Bhoj,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Kamal S. Khouri,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Ye Yu,
N. Jha,
2018,
IEEE Transactions on Multi-Scale Computing Systems.
Niraj K. Jha,
Robert P. Dick,
Li Shang,
2008
.
Niraj K. Jha,
Shalini Yajnik,
1997,
IEEE Trans. Parallel Distributed Syst..
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Zeyu Wang,
Shayan Hassantabar,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Abhinav Agrawal,
N. Jha,
2004,
Proceedings Design, Automation and Test in Europe Conference and Exhibition.
Niraj K. Jha,
Sying-Jyan Wang,
1994,
IEEE Trans. Computers.
Bapiraju Vinnakota,
Niraj K. Jha,
N. Jha,
1993,
IEEE Trans. Computers.
Niraj K. Jha,
Anand Raghunathan,
Tat Kee Tan,
2004,
ESA/VLSI.
Niraj K. Jha,
Arsalan Mosenia,
N. Jha,
2018,
IEEE Transactions on Multi-Scale Computing Systems.
Dinesh Bhatia,
Rajiv V. Joshi,
Daniel D. Gajski,
2002
.
Niraj K. Jha,
Santhanam Srinivasan,
1995,
Proceedings of EURO-DAC. European Design Automation Conference.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2000,
IEEE Trans. Computers.
Niraj K. Jha,
Ajay N. Bhoj,
2009,
2009 IEEE International Conference on Computer Design.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
DiabDeep: Pervasive Diabetes Diagnosis Based on Wearable Medical Sensors and Efficient Neural Networks
pdf
Niraj K. Jha,
Xiaoliang Dai,
Hongxu Yin,
2019,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Tien-Chien Lee,
Wayne H. Wolf,
1993,
30th ACM/IEEE Design Automation Conference.
Niraj K. Jha,
Anand Raghunathan,
Indradeep Ghosh,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Sandip Kundu,
1990
.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2002,
ICCAD 2002.
Srivaths Ravi,
Niraj K. Jha,
Ganesh Lakshminarayana,
1998,
Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).
Niraj K. Jha,
Martin Rötteler,
Srihari Cadambi,
2008,
21st International Conference on VLSI Design (VLSID 2008).
Wei Zhang,
Li Shang,
Niraj K. Jha,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Sujit Dey,
Niraj K. Jha,
Indradeep Ghosh,
1997,
Proceedings International Test Conference 1997.
Niraj K. Jha,
Kamal S. Khouri,
N. Jha,
2002,
Proceedings 2000 International Conference on Computer Design.
Niraj K. Jha,
Najmi T. Jarwala,
Christos A. Papachristou,
1996,
VTS.
Niraj K. Jha,
Xianmin Chen,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Hongxu Yin,
Wenhan Xia,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Niraj K. Jha,
Ajay N. Bhoj,
Debajit Bhattacharya,
2015,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Prateek Mishra,
Ajay N. Bhoj,
2010,
2010 11th International Symposium on Quality Electronic Design (ISQED).
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Li Shang,
Niraj K. Jha,
Li-Shiuan Peh,
2003,
ICS '03.
Niraj K. Jha,
Robert P. Dick,
1999,
DATE '99.
Niraj K. Jha,
Shalini Yajnik,
N. Jha,
1993,
1993 International Conference on Parallel Processing - ICPP'93.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2005,
2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
Li Shang,
Niraj K. Jha,
N. Jha,
2002,
Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
Niraj K. Jha,
Anand Raghunathan,
T. K. Tan,
2003,
Embedded Software for SoC.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Ganesh Lakshminarayana,
Anand Raghunathan,
2004,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
Anand Raghunathan,
Najwa Aaraj,
2008,
DIMVA.
Niraj K. Jha,
Lin Zhong,
2002,
ICCAD 2002.
Niraj K. Jha,
Robert P. Dick,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Rui Zhang,
2006
.
Niraj K. Jha,
Li-Shiuan Peh,
Tushar Krishna,
2009,
2009 IEEE International Symposium on Performance Analysis of Systems and Software.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
Proceedings of the Design Automation & Test in Europe Conference.
Srivaths Ravi,
Niraj K. Jha,
Anand Raghunathan,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Niraj K. Jha,
M. B. Vora,
N. Jha,
1988
.
Niraj K. Jha,
Anand Raghunathan,
N. Jha,
1995,
Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Niraj K. Jha,
2010,
IEEE Trans. Very Large Scale Integr. Syst..
Meng Zhang,
Niraj K. Jha,
2011,
JETC.
Niraj K. Jha,
Sourindra Chaudhuri,
N. Jha,
2014,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Shalini Yajnik,
N. Jha,
1994,
Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
Niraj K. Jha,
B. Vinnakota,
1991,
[1991] Proceedings. Fourth CSI/IEEE International Symposium on VLSI Design.
Anish Muttreja,
Niraj K. Jha,
Prateek Mishra,
2009,
JETC.
Sujit Dey,
Niraj K. Jha,
Anand Raghunathan,
1997
.
Niraj K. Jha,
Hongxu Yin,
N. Jha,
2017,
IEEE Transactions on Multi-Scale Computing Systems.
Bapiraju Vinnakota,
Niraj K. Jha,
1991,
[1991] Digest of Papers. Fault-Tolerant Computing: The Twenty-First International Symposium.
Niraj K. Jha,
Jiong Luo,
Li-Shiuan Peh,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Niraj K. Jha,
1988,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Niraj K. Jha,
Amlan Chakrabarti,
Chia-Chun Lin,
2014,
ACM J. Emerg. Technol. Comput. Syst..
Niraj K. Jha,
Loganathan Lingappan,
Pallav Gupta,
2007,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
SHARKS: Smart Hacking Approaches for RisK Scanning in Internet-of-Things and Cyber-Physical Systems based on Machine Learning
pdf
Niraj K. Jha,
Najwa Aaraj,
Tanujay Saha,
2021,
ArXiv.
Niraj K. Jha,
Hongxu Yin,
Shayan Hassantabar,
2021,
ArXiv.
Niraj K. Jha,
Kenza Hamidouche,
Shayan Hassantabar,
2021,
IEEE Transactions on Consumer Electronics.
Niraj K. Jha,
Brendan Englot,
Sanjai Narain,
2021,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Niraj K. Jha,
Tanujay Saha,
Jacob Brown,
2021,
IEEE Transactions on Emerging Topics in Computing.
Naveen Verma,
Niraj K. Jha,
Jie Lucy Lu,
2021
.
Niraj K. Jha,
Najwa Aaraj,
Tanujay Saha,
2021,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Weifeng Zhang,
Yingmin Li,
2022,
IEEE Transactions on Emerging Topics in Computing.
Niraj K. Jha,
Mahendra B. Vora,
1987
.
Niraj K. Jha,
Rui Guo,
N. Jha,
2009
.
Niraj K. Jha,
J. A. Abraham,
1987
.
Niraj K. Jha,
Swarup Bhunia,
Koji Nakao,
2018
.
Niraj K. Jha,
Li-Shiuan Peh,
Niket Agarwal,
.
Niraj K. Jha,
A. Takach,
1990,
IEEE International Symposium on Circuits and Systems.
Niraj K. Jha,
Deming Chen,
Niraj K. Jha,
2011
.
Niraj K. Jha,
Lin Zhong,
Rui Zhang,
2005
.
Niraj K. Jha,
1990
.
Niraj K. Jha,
Zvi Kohavi,
2009
.