Jing-Jia Liou

发表

Cheng-Wen Wu, Chih-Tsun Huang, Yen-Lin Peng, 2007, IET Comput. Digit. Tech..

Jing-Jia Liou, Shuo-You Hsu, Chih-Hsiang Hsu, 2013, 2013 22nd Asian Test Symposium.

Cheng-Wen Wu, Chih-Tsun Huang, Yen-Lin Peng, 2005, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)..

Ying-Yen Chen, Jing-Jia Liou, Jyun-Wei Chen, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Jing-Jia Liou, Meng-Ta Hsieh, Jun-Fei Cherng, 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Kwang-Ting Cheng, Sandip Kundu, Angela Krstic, 2001, DAC '01.

M. Ray Mercer, Rohit Kapur, Thomas W. Williams, 2002, DAC '02.

Kwang-Ting Cheng, Magdy S. Abadir, Angela Krstic, 2003, DATE.

Cheng-Wen Wu, Chih-Tsun Huang, Yen-Lin Peng, 2004 .

Kwang-Ting Cheng, Magdy S. Abadir, Angela Krstic, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Shi-Yu Huang, Hsi-Pin Ma, Cheng-Wen Wu, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Ying-Yen Chen, Jing-Jia Liou, Chun-Yu Yang, 2009, 2009 Asian Test Symposium.

Cheng-Wen Wu, Chih-Tsun Huang, Chun-Kai Hsu, 2008, 2008 17th Asian Test Symposium.

Ying-Yen Chen, Jing-Jia Liou, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Jing-Jia Liou, Youngsoo Shin, Lee-eun Yu, 2009, 2009 IEEE International Conference on IC Design and Technology.

Ying-Yen Chen, Jing-Jia Liou, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yeh-Ching Chung, Chih-Tsun Huang, Jing-Jia Liou, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ying-Yen Chen, Jing-Jia Liou, Chun-Yu Yang, 2010, IEEE Design & Test of Computers.

Jing-Jia Liou, Sung-Yu Chen, 陳松裕, 2009 .

Jing-Jia Liou, Augusli Kifli, Ming-Ting Hsieh, 2008, 2008 17th Asian Test Symposium.

Chia-Wei Chang, Jing-Jia Liou, Zi-Qi Zhong, 2019, FPGA.

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Shi-Yu Huang, Hsi-Pin Ma, Cheng-Wen Wu, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Ching-Te Chiu, Jing-Jia Liou, Chun-Yi Lin, 2015, IEEE Transactions on Multimedia.

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, ASP-DAC '03.

Kwang-Ting Cheng, Jing-Jia Liou, Ming Gao, 2012, 17th Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2002, ICCAD 2002.

Chih-Tsun Huang, Jing-Jia Liou, Chi-Feng Wu, 2017, 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Shi-Yu Huang, Hsi-Pin Ma, Cheng-Wen Wu, 2010, 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems.

M. Ray Mercer, Rohit Kapur, Thomas W. Williams, 2002, Proceedings. International Test Conference.

Shi-Yu Huang, Hsi-Pin Ma, Cheng-Wen Wu, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jing-Jia Liou, Min-Pin Kuo, 2004 .

Kwang-Ting Cheng, Sandip Kundu, Angela Krstic, 2000, ASP-DAC '00.

Ying-Yen Chen, Jing-Jia Liou, Min-Pin Kuo, 2005, IEEE International Conference on Test, 2005..

Kwang-Ting Cheng, Sandip Kundu, Jing-Jia Liou, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Pei-Ying Hsieh, Jing-Jia Liou, Shun-Yen Lu, 2006, ICCAD.

Jing-Jia Liou, Ting-Shuo Hsu, Jun-Hua Kuo, 2012, 2012 IEEE 21st Asian Test Symposium.

Jing-Jia Liou, Youngsoo Shin, Seungwhun Paik, 2011, J. Circuits Syst. Comput..

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Cheng-Wen Wu, Chih-Tsun Huang, Yen-Lin Peng, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Jing-Jia Liou, Ming-Ting Hsieh, Shun-Yen Lu, 2007, 2007 IEEE International Test Conference.

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Jing-Jia Liou, Ting-Shuo Hsu, Christian M. Fuchs, 2020, 2020 IEEE 29th Asian Test Symposium (ATS).

Jing-Jia Liou, Ting-Shuo Hsu, Juin-Ming Lu, 2019, 2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Chih-Tsun Huang, Jing-Jia Liou, Ting-Shuo Hsu, 2017, 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2001 .

Ying-Yen Chen, Chun-Chia Chen, Jing-Jia Liou, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Jing-Jia Liou, Ting-Shuo Hsu, Zih-Huan Gao, 2017, 2017 IEEE 26th Asian Test Symposium (ATS).

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Jing-Jia Liou, Ting-Shuo Hsu, Chao-Kai Yu, 2015, The 20th Asia and South Pacific Design Automation Conference.

Shi-Yu Huang, Jen-Chieh Yeh, Jing-Jia Liou, 2009, 2009 1st Asia Symposium on Quality Electronic Design.

Cheng-Wen Wu, Chun-Chieh Wang, Chih-Tsun Huang, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Chih-Tsun Huang, Jing-Jia Liou, Ting-Shuo Hsu, 2012, Proceedings of The 2012 Asia Pacific Signal and Information Processing Association Annual Summit and Conference.

Chih-Tsun Huang, Jing-Jia Liou, Ting-Shuo Hsu, 2015, The 20th Asia and South Pacific Design Automation Conference.

Ying-Yen Chen, Hsi-Pin Ma, Cheng-Wen Wu, 2007, SoCC.

Chih-Tsun Huang, Liang-Chia Cheng, Jing-Jia Liou, 2014, 2014 27th IEEE International System-on-Chip Conference (SOCC).

Jing-Jia Liou, Ting-Shuo Hsu, Jun-Hua Kuo, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Ying-Yen Chen, Jing-Jia Liou, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Jing-Jia Liou, Dun-An Yang, Harry H. Chen, 2021, 2021 IEEE 30th Asian Test Symposium (ATS).

Chih-Tsun Huang, Jing-Jia Liou, Juin-Ming Lu, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Jing-Jia Liou, Kwang-Ting Cheng, A. Krstic, 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

Kwang-Ting Cheng, Jing-Jia Liou, S. Kundu, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Jing-Jia Liou, Shun-Yen Lu, Pei-Ying Hsieh, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.