Yinhe Han

发表

Xiaowei Li, Ji Li, Yinhe Han, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Qiang Xu, Xiaowei Li, Song Jin, 2013, International Symposium on Quality Electronic Design (ISQED).

Huawei Li, Xiaowei Li, Yinhe Han, 2006, Science in China Series F: Information Sciences.

Huawei Li, Xiaowei Li, Yinhe Han, 2011, IEICE Trans. Inf. Syst..

Xiaowei Li, Yue Yu, Shangping Ren, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Zhimin Zhang, Dongrui Fan, Yinhe Han, 2005, 2005 International Symposium on System-on-Chip.

Huawei Li, Xiaowei Li, Yinhe Han, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Xiaowei Li, Yinhe Han, Yanzhuo Tan, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Xiaowei Li, Yinhe Han, Shaohua Lei, 2007, 16th Asian Test Symposium (ATS 2007).

Cheng Wang, Xiaowei Li, Yinhe Han, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Xiaowei Li, Yinhe Han, Xueliang Li, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiaowei Li, Jianxin Wang, Yinhe Han, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huawei Li, Xiaowei Li, Song Jin, 2010, 2010 19th IEEE Asian Test Symposium.

Qiang Xu, Xiaowei Li, Yinhe Han, 2008, 2008 Design, Automation and Test in Europe.

Songwei Pei, Huawei Li, Song Jin, 2015, VLSI Design, Automation and Test(VLSI-DAT).

Krishnendu Chakrabarty, Bing Zhao, Yinhe Han, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yu Hu, Huawei Li, Xiaowei Li, 2005, IEICE Trans. Inf. Syst..

Xiaowei Li, Yinhe Han, Cheng Liu, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Xiaowei Li, Yinhe Han, Jiajun Li, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Xin He, Xiaowei Li, Yinhe Han, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Huawei Li, Xiaowei Li, Yinhe Han, 2010, 2010 IEEE 16th Pacific Rim International Symposium on Dependable Computing.

Xin He, Xiaowei Li, Yinhe Han, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Xiaowei Li, Yinhe Han, Jianliang Gao, 2010, IEICE Trans. Inf. Syst..

Xiaowei Li, Yinhe Han, Jianliang Gao, 2011, 2011 Design, Automation & Test in Europe.

Hui Liu, Huawei Li, Xiangku Li, 2009, 2009 Asian Test Symposium.

Lei Zhang, Huawei Li, Xiaowei Li, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Huawei Li, Xiaowei Li, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Huawei Li, Xiaowei Li, Yinhe Han, 2011, 2011 Design, Automation & Test in Europe.

Yinhe Han, Ying Wang, Xiaoming Chen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Xiaowei Li, Yinhe Han, Ying Wang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Xiaowei Li, Yinhe Han, Guihai Yan, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Xiaowei Li, Ninghui Sun, Yinhe Han, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Wei Wang, Xiaowei Li, Yu Hu, 2007, Journal of Computer Science and Technology.

Yinhe Han, Binzhang Fu, Xiaowei Li, 2014 .

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Jun Liu, Yinhe Han, 2011, 2011 Asian Test Symposium.

Xiaowei Li, Xin Zhao, Yinhe Han, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Yinhe Han, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Jing Ye, Ninghui Sun, Yinhe Han, 2018, IEEE Robotics and Automation Letters.

Huawei Li, Yinhe Han, Xiaowei Li, 2009, 2009 IEEE 8th International Conference on ASIC.

Xiaowei Li, Yinhe Han, Binzhang Fu, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yu Hu, Huawei Li, Xiaowei Li, 2004, 13th Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Wang, Xiaoming Chen, Yinhe Han, 2019, International Symposium on High-Performance Computer Architecture.

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2010, 2010 IEEE International Test Conference.

Yu Hu, Huawei Li, Xiaowei Li, 2004, 13th Asian Test Symposium.

Xiaowei Li, Yinhe Han, Jianliang Gao, 2009, 2009 27th IEEE VLSI Test Symposium.

Xiaowei Li, Yinhe Han, Guihai Yan, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dongrui Fan, Xiaowei Li, Yinhe Han, 2005, 2005 6th International Conference on ASIC.

Xiaowei Li, Yinhe Han, Ying Wang, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lei Zhang, Huawei Li, Xiaowei Li, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Yinhe Han, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Ying Wang, Yinhe Han, Shiqi Lian, 2018, Journal of Computer Science and Technology.

Ying Wang, Yinhe Han, Xiaowei Li, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jun Zhou, Huawei Li, Xiaowei Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Lei Zhang, Peng Chen, Yinhe Han, 2014, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Yinhe Han, 2006, IEEE Transactions on Instrumentation and Measurement.

Yu Hu, Xiaowei Li, Yinhe Han, 2005, 14th Asian Test Symposium (ATS'05).

Xiaowei Li, Yinhe Han, Lei Zhang, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Ying Wang, Huawei Li, Yinhe Han, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Lei Zhang, Xiaowei Li, Yinhe Han, 2013, Journal of Computer Science and Technology.

Huawei Li, Yinhe Han, Xiaowei Li, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Michael T. Niemier, Xiaobo Sharon Hu, Suman Datta, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Xin He, Xiaowei Li, Yinhe Han, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiaowei Li, Yinhe Han, Ying Wang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Xiaoming Chen, Xuehai Qian, Yinhe Han, 2019, IEEE Computer Architecture Letters.

Xin He, Xiaowei Li, Yinhe Han, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Xiaowei Li, Yinhe Han, Lei Zhang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Yinhe Han, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yinhe Han, Tong Liu, Xiaowei Li, 2006 .

Huawei Li, Yinhe Han, Ying Wang, 2014, 2014 IEEE COOL Chips XVII.

Qiang Xu, Huawei Li, Xiaowei Li, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lei Zhang, Huawei Li, Yinhe Han, 2007 .

Xiaowei Li, Cheng Liu, Yinhe Han, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Minyi Guo, Xiaowei Li, Yinhe Han, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Yu Hu, Huawei Li, Xiaowei Li, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Jun Ma, Yinhe Han, 2016, 2016 Seventh International Green and Sustainable Computing Conference (IGSC).

Songwei Pei, Song Jin, Yinhe Han, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Tong Liu, Huawei Li, Xiaowei Li, 2006, IEEE Globecom 2006.

Xiaowei Li, Jun Ma, Yinhe Han, 2016, IEEE Transactions on Parallel and Distributed Systems.

Yu Hu, Huawei Li, Xiaowei Li, 2005, Sixth international symposium on quality electronic design (isqed'05).

Xiaowei Li, Jun Liu, Yinhe Han, 2009, 2009 Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2005, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Song Jin, 2009, 2009 Asian Test Symposium.

Xiaowei Li, Yinhe Han, Ying Wang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lei Zhang, Xiaowei Li, Cheng Liu, 2013, Journal of Computer Science and Technology.

Xiaoming Chen, Xiaobo Sharon Hu, Yinhe Han, 2019, IEEE Transactions on Parallel and Distributed Systems.

Huawei Li, Xiaowei Li, Song Jin, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaoming Chen, Yinhe Han, Longxiang Yin, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ying Wang, Sheng Xu, Yinhe Han, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xiaowei Li, Yinhe Han, Ying Wang, 2015, IEICE Electron. Express.

Huawei Li, Yinhe Han, Ying Wang, 2014, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Yinhe Han, 2005, 2005 IEEE Instrumentationand Measurement Technology Conference Proceedings.

Huawei Li, Xiaowei Li, Jun Ma, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Zuying Luo, Xiaowei Li, Yongjun Xu, 2003, ASICON 2003.

Huawei Li, Yinhe Han, Xiaowei Li, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Xiaowei Li, Yinhe Han, Ying Wang, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Hu, Yinhe Han, Yiming Zeng, 2018, NeurIPS.

Jie Xu, Huawei Li, Xiaowei Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xin He, Huawei Li, Yinhe Han, 2018, Journal of Computer Science and Technology.

Yinhe Han, Xiaowei Li, Guihai Yan, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Xiaowei Li, Yinhe Han, Guihai Yan, 2011, IEEE Transactions on Computers.

Xiaowei Li, Jun Ma, Yinhe Han, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yinhe Han, Xiaoming Chen, Yuping Wu, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Peng Liu, Yinhe Han, Jigang Wu, 2021, IEEE Transactions on Circuits and Systems II: Express Briefs.

Xingqi Zou, Yinhe Han, Xiaoming Chen, 2021, Science China Information Sciences.