Hemangee K. Kapoor

发表

Dipika Deb, John Jose, Shirshendu Das, 2019, J. Parallel Distributed Comput..

Hemangee K. Kapoor, Shounak Chakraborty, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Hemangee K. Kapoor, Khushboo Rani, H. Kapoor, 2019, IET Comput. Digit. Tech..

Hemangee K. Kapoor, Shounak Chakraborty, 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Shashank Suman, 2020, 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Hemangee K. Kapoor, Khushboo Rani, Sukarn Agarwal, 2018, 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS).

Hemangee K. Kapoor, Apoorv Kumar, 2014, 18th International Symposium on VLSI Design and Test.

Shirshendu Das, Hemangee K. Kapoor, Dhantu Buragohain, 2014, 2014 International Conference on Electronics and Communication Systems (ICECS).

Hemangee K. Kapoor, Sukarn Agarwal, Sharma Priya, 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Khushboo Rani, Sukarn Agarwal, 2018, 2018 8th International Symposium on Embedded Computing and System Design (ISED).

Shrinivas P. Mahajan, Hemangee K. Kapoor, Khushboo Rani, 2018, 2018 8th International Symposium on Embedded Computing and System Design (ISED).

Hemangee K. Kapoor, H. Kapoor, 2009, IEEE Transactions on Computers.

Shirshendu Das, Hemangee K. Kapoor, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Hemangee K. Kapoor, Santosh Biswas, Pradeep Kumar Biswal, 2015, VLSI Design.

Shirshendu Das, Hemangee K. Kapoor, Alankar V. Umdekar, 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

Shrinivas P. Mahajan, Hemangee K. Kapoor, Shounak Chakraborty, 2018, ACM Great Lakes Symposium on VLSI.

Hemangee K. Kapoor, Shounak Chakraborty, 2017, Microprocess. Microsystems.

Hemangee K. Kapoor, Mark B. Josephs, M. B. Josephs, 2005, Fifth International Conference on Application of Concurrency to System Design (ACSD'05).

Hemangee K. Kapoor, Khushboo Rani, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Hemangee K. Kapoor, Sukarn Agarwal, Sheel Sindhu Manohar, 2019, ACM Great Lakes Symposium on VLSI.

Hemangee K. Kapoor, Sukarn Agarwal, 2016, 2016 20th International Symposium on VLSI Design and Test (VDAT).

Hemangee K. Kapoor, Mark B. Josephs, M. B. Josephs, 2004, Proceedings. 41st Design Automation Conference, 2004..

Hemangee K. Kapoor, Arnab Sarkar, Sanjay Moulik, 2018, Sustain. Comput. Informatics Syst..

Shirshendu Das, Hemangee K. Kapoor, Prateek D. Halwe, 2013, 2013 IEEE 11th International Conference on Dependable, Autonomic and Secure Computing.

Hemangee K. Kapoor, Palash Das, Shivam Lakhotia, 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

Shirshendu Das, Hemangee K. Kapoor, 2015, SAC.

Hemangee K. Kapoor, Sheel Sindhu Manohar, 2019, SAC.

Shirshendu Das, Hemangee K. Kapoor, Shounak Chakraborty, 2015, 2015 IEEE International Parallel and Distributed Processing Symposium Workshop.

Hemangee K. Kapoor, Sukarn Agarwal, 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Mark B. Josephs, M. B. Josephs, 2004, Proceedings. Fourth International Conference on Application of Concurrency to System Design, 2004. ACSD 2004..

Dipika Deb, Hemangee K. Kapoor, Shounak Chakraborty, 2014, 2014 International Conference on Electronics and Communication Systems (ICECS).

Shirshendu Das, Hemangee K. Kapoor, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Santosh Biswas, Jatindra Kumar Deka, 2010, IEEE ICCA 2010.

Shirshendu Das, Hemangee K. Kapoor, Shounak Chakraborty, 2015, SAC.

Hemangee K. Kapoor, Sukarn Agarwal, 2019, IEEE Transactions on Computers.

Shirshendu Das, Hemangee K. Kapoor, Ka Lok Man, 2013 .

Shirshendu Das, Hemangee K. Kapoor, B. Venkateswarlu Naik, 2014, 2014 International Conference on Information Technology.

Shirshendu Das, Hemangee K. Kapoor, 2013, 2013 International Symposium on Electronic System Design.

Hemangee K. Kapoor, Sukarn Agarwal, 2020, 2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Hemangee K. Kapoor, Sukarn Agarwal, 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Hemangee K. Kapoor, Sukarn Agarwal, Arijit Nath, 2020, ACM Trans. Design Autom. Electr. Syst..

Hemangee K. Kapoor, Khushboo Rani, 2019, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, H. Kapoor, 2006, Fourth IEEE International Conference on Software Engineering and Formal Methods (SEFM'06).

Hemangee K. Kapoor, Arnab Sarkar, Sanjay Moulik, 2020, J. Syst. Archit..

Hemangee K. Kapoor, Palash Das, 2018, ACM Great Lakes Symposium on VLSI.

Shirshendu Das, Hemangee K. Kapoor, M. Lakshmi Prasad, 2014, 2014 International Conference on Information Technology.

Hemangee K. Kapoor, Sukarn Agarwal, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Hemangee K. Kapoor, H. Kapoor, 2007, Seventh International Conference on Application of Concurrency to System Design (ACSD 2007).

Shirshendu Das, Hemangee K. Kapoor, Kartheek Vanapalli, 2015, 2015 19th International Symposium on VLSI Design and Test.

Shirshendu Das, Hemangee K. Kapoor, Parasara Sridhar Duggirala, 2013, Integr..

Hemangee K. Kapoor, Gaurav Trivedi, G. Bhoopal Rao, 2013, Circuits Syst. Signal Process..

Hemangee K. Kapoor, Mark B. Josephs, M. B. Josephs, 2007, Fundam. Informaticae.

Shirshendu Das, Hemangee K. Kapoor, Shounak Chakraborty, 2015, 2015 19th International Symposium on VLSI Design and Test.

Shirshendu Das, Hemangee K. Kapoor, 2017, IEEE Transactions on Parallel and Distributed Systems.

Hemangee K. Kapoor, Khushboo Rani, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Hemangee K. Kapoor, Alpesh Patel, 2010, 2010 23rd International Conference on VLSI Design.

Shirshendu Das, Hemangee K. Kapoor, 2014, Microprocess. Microsystems.

Hemangee K. Kapoor, Neelkamal, Sonal Yadav, 2019, 2019 9th International Symposium on Embedded Computing and System Design (ISED).

Shirshendu Das, Hemangee K. Kapoor, Surajit Das, 2016, 2016 20th International Symposium on VLSI Design and Test (VDAT).

Hemangee K. Kapoor, Mark B. Josephs, Concurrency Workbench, 2003 .

Hemangee K. Kapoor, Shounak Chakraborty, Narendra Kumar Meena, 2014, 18th International Symposium on VLSI Design and Test.

Hemangee K. Kapoor, Shounak Chakraborty, Shounak Chakraborty, 2019, ACM Trans. Design Autom. Electr. Syst..

Hemangee K. Kapoor, Mark B. Josephs, M. B. Josephs, 2004, Inf. Process. Lett..

Hemangee K. Kapoor, Chi-Un Lei, Ka Lok Man, 2012, IMECS 2012.

Hemangee K. Kapoor, Arnab Sarkar, Sanjay Moulik, 2018, 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Sukarn Agarwal, 2019, ACM Great Lakes Symposium on VLSI.

Manoj Singh Gaur, Mark Zwolinski, Hemangee K. Kapoor, 2018, 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS).

Shirshendu Das, Hemangee K. Kapoor, Prateek D. Halwe, 2013, VDAT.

Shirshendu Das, Hemangee K. Kapoor, Shounak Chakraborty, 2016, SAC.

Hemangee K. Kapoor, K. Sajeesh, H. Kapoor, 2011, 2011 International Symposium on Electronic System Design.

Hemangee K. Kapoor, Lalit Chandnani, 2013, IEEE Transactions on Industrial Informatics.

Shirshendu Das, Hemangee K. Kapoor, Ka Lok Man, 2012 .

Hemangee K. Kapoor, Nan Zhang, Chi-Un Lei, 2016, Comput. Informatics.

Hemangee K. Kapoor, Arijit Nath, 2019, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID).

Hemangee K. Kapoor, Shounak Chakraborty, Shounak Chakraborty, 2018, IEEE Transactions on Sustainable Computing.

Shirshendu Das, Hemangee K. Kapoor, Praveen Kanakala, 2012, The Journal of Supercomputing.

Vijay Laxmi, Manoj Singh Gaur, Hemangee K. Kapoor, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Hemangee K. Kapoor, Sukarn Agarwal, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Hemangee K. Kapoor, Palash Das, Chirag Joshi, 2020, ACM Great Lakes Symposium on VLSI.

Shirshendu Das, Hemangee K. Kapoor, 2015, 2015 28th International Conference on VLSI Design.

Hemangee K. Kapoor, N. S. Aswathy, Arnab Sarkar, 2021, 2021 IEEE 27th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA).

Hemangee K. Kapoor, Mayank Baranwal, Sukarn Agarwal, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Hemangee K. Kapoor, Arijit Nath, Manik B. Bhosle, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Hemangee K. Kapoor, Khushboo Rani, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.