Jae-sun Seo
发表
Yu Cao,
Sarma Vrudhula,
Yufei Ma,
2017,
2017 27th International Conference on Field Programmable Logic and Applications (FPL).
David Blaauw,
Himanshu Kaul,
Ram Krishnamurthy,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yandong Luo,
Xiaoyu Sun,
Shimeng Yu,
2019,
IEEE Micro.
Jae-sun Seo,
Mingoo Seok,
Shihui Yin,
2020,
IEEE Journal of Solid-State Circuits.
Jae-sun Seo,
Xiaoyang Mi,
Hesam Fathi Moghadam,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Jae-sun Seo,
Mingoo Seok,
Shihui Yin,
2018,
2018 IEEE Symposium on VLSI Technology.
Yu Cao,
Xin Li,
Jae-sun Seo,
2019,
ACM J. Emerg. Technol. Comput. Syst..
Shimeng Yu,
Yu Cao,
Visar Berisha,
2017,
Neurocomputing.
Yu Cao,
Sung Kyu Lim,
Jae-sun Seo,
2017,
2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2016,
2016 26th International Conference on Field Programmable Logic and Applications (FPL).
Jae-sun Seo,
Mingoo Seok,
Shihui Yin,
2019,
ACM Great Lakes Symposium on VLSI.
Yu Cao,
Jae-sun Seo,
Yang Liu,
2017,
VLSIC 2017.
Shimeng Yu,
Jae-sun Seo,
Won Bo Shim,
2020,
Semiconductor Science and Technology.
Yu Cao,
Xiaofei He,
Jae-sun Seo,
2017,
2017 IEEE International Symposium on Circuits and Systems (ISCAS).
Jae-sun Seo,
Mingoo Seok,
Jiangyi Li,
2017,
IEEE Journal of Solid-State Circuits.
David Blaauw,
Dennis Sylvester,
Jae-sun Seo,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2014,
2014 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings.
Jae-sun Seo,
Mingoo Seok,
Jae-sun Seo,
2015,
2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).
David Blaauw,
Dennis Sylvester,
Ron Ho,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Sarma B. K. Vrudhula,
Joseph Davis,
Jae-sun Seo,
2015,
2015 IEEE Custom Integrated Circuits Conference (CICC).
Jae-sun Seo,
Min-Kyu Kim,
Jae-sun Seo,
2020,
IEEE Journal of Solid-State Circuits.
Chaitali Chakrabarti,
Jae-sun Seo,
Deepak Kadetotad,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Shimeng Yu,
Yu Cao,
Sarma Vrudhula,
2015
.
David Blaauw,
Dennis Sylvester,
Jae-sun Seo,
2008,
2008 IEEE Custom Integrated Circuits Conference.
Yu Cao,
Visar Berisha,
Ming Tu,
2016,
2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
David Blaauw,
Dennis Sylvester,
Jae-sun Seo,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
David Blaauw,
Dennis Sylvester,
Jae-sun Seo,
2011,
IEEE Journal of Solid-State Circuits.
Chaitali Chakrabarti,
Visar Berisha,
Jae-sun Seo,
2017,
2017 51st Asilomar Conference on Signals, Systems, and Computers.
Yu Cao,
Visar Berisha,
Zheng Yang,
2018,
2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Sang Joon Kim,
Jae-sun Seo,
Shihui Yin,
2020,
IEEE Transactions on Biomedical Circuits and Systems.
Yu Cao,
Xin Li,
Jae-sun Seo,
2018,
ACM J. Emerg. Technol. Comput. Syst..
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2018,
Integr..
Jae-sun Seo,
Gaurav Srivastava,
Shihui Yin,
2019,
2019 IEEE International Symposium on Circuits and Systems (ISCAS).
Visar Berisha,
Jian Meng,
Jae-sun Seo,
2020,
INTERSPEECH.
Yu Cao,
Minkyu Kim,
Jae-sun Seo,
2019,
2017 Symposium on VLSI Circuits.
David Blaauw,
Dennis Sylvester,
Igor L. Markov,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Yandong Luo,
Shimeng Yu,
Jae-sun Seo,
2020,
IEEE Transactions on Electron Devices.
Frank Liu,
Jae-sun Seo,
Yu Cao,
2020,
2020 International Joint Conference on Neural Networks (IJCNN).
Shimeng Yu,
Jae-sun Seo,
Shihui Yin,
2019,
IEEE Transactions on Electron Devices.
Yu Cao,
Sung Kyu Lim,
Jae-sun Seo,
2018,
ACM J. Emerg. Technol. Comput. Syst..
Jae-sun Seo,
Mingoo Seok,
Shihui Yin,
2020,
IEEE Journal of Solid-State Circuits.
Carole-Jean Wu,
Jae-sun Seo,
Soochan Lee,
2016,
2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).
Xiaochen Peng,
Shimeng Yu,
Rui Liu,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Gert Cauwenberghs,
Johannes Schemmel,
Shimeng Yu,
2019,
Front. Neurosci..
David Patterson,
Jae-sun Seo,
Vijay Janapa Reddi,
2020,
ArXiv.
Bertan Bakkaloglu,
Jae-sun Seo,
Visvesh S. Sathe,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Yu Cao,
Jae-sun Seo,
Shihui Yin,
2020,
IJCAI.
Yiran Chen,
Chaitali Chakrabarti,
Chang Song,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Yu Cao,
Visar Berisha,
Ming Tu,
2016,
2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2016,
2016 IEEE International Symposium on Circuits and Systems (ISCAS).
Chaitali Chakrabarti,
Gregory K. Chen,
Ram Krishnamurthy,
2017,
2017 IEEE Biomedical Circuits and Systems Conference (BioCAS).
Yu Cao,
Jingrui He,
Jae-sun Seo,
2016,
2016 IEEE 16th International Conference on Data Mining (ICDM).
Avesta Sasan,
Tinoosh Mohsenin,
Yanzhi Wang,
2018,
ACM Great Lakes Symposium on VLSI.
Tushar Gupta,
Jae-sun Seo,
Mingoo Seok,
2020,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2015,
2015 33rd IEEE International Conference on Computer Design (ICCD).
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Xiaochen Peng,
Shimeng Yu,
Rui Liu,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
David Blaauw,
Dennis Sylvester,
Igor L. Markov,
2008,
ICCAD 2008.
Yu Cao,
Xiaofei He,
Jae-sun Seo,
2017,
ISCAS.
Visar Berisha,
Jae-sun Seo,
Shihui Yin,
2020,
IEEE Journal of Solid-State Circuits.
Yong Liu,
Bernard Brezzo,
Daniel J. Friedman,
2011,
2011 IEEE Custom Integrated Circuits Conference (CICC).
David Blaauw,
Dennis Sylvester,
Suyoung Bang,
2016,
IEEE Journal of Solid-State Circuits.
Jae-sun Seo,
Usama Awais,
2020,
2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS).
Shimeng Yu,
Yu Cao,
Jae-sun Seo,
2017,
2017 IEEE International Electron Devices Meeting (IEDM).
Yu Cao,
Umit Y. Ogras,
Jae-sun Seo,
2020,
IEEE Design & Test.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Boris Murmann,
Hoi-Jun Yoo,
Jae-sun Seo,
2019,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Sang Joon Kim,
Jae-sun Seo,
Shihui Yin,
2017,
2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).
Patrick Hansen,
Matthew Mattina,
Paul N. Whatmough,
2019,
MLSys.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2014,
BICA.
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
IEEE Transactions on Nanotechnology.
Shimeng Yu,
Yu Cao,
Jae-sun Seo,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2018,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Qi Wang,
Jae-sun Seo,
Mingoo Seok,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Yu Cao,
Sarma Vrudhula,
Jae-sun Seo,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Meng-Fan Chang,
Hai Li,
Arindam Basu,
2018,
IEEE J. Emerg. Sel. Topics Circuits Syst..
Sarma B. K. Vrudhula,
Jae-sun Seo,
Niranjan Kulkarni,
2016,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jae-sun Seo,
Mingoo Seok,
Shihui Yin,
2019,
2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Tushar Gupta,
Minkyu Kim,
Jae-sun Seo,
2019,
2019 53rd Asilomar Conference on Signals, Systems, and Computers.
Jae-sun Seo,
Mingoo Seok,
Ioannis Kymissis,
2016,
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).
Yu Cao,
Sarma Vrudhula,
Yufei Ma,
2016,
FPGA.
Visar Berisha,
Jae-sun Seo,
Gaurav Srivastava,
2019,
ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Xiaochen Peng,
Xiaoyu Sun,
Shimeng Yu,
2019,
MEMSYS.
David Blaauw,
Himanshu Kaul,
Ram Krishnamurthy,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Yu Cao,
Sarma B. K. Vrudhula,
Minkyu Kim,
2017,
2017 IEEE International Symposium on Circuits and Systems (ISCAS).
Comprehensive Evaluation of OpenCL-based Convolutional Neural Network Accelerators in Xilinx and Altera FPGAs
pdf
Antonio Rios-Navarro,
Alejandro Linares-Barranco,
Jae-sun Seo,
2016,
ArXiv.
Ricardo Tapiador-Morales,
Antonio Rios-Navarro,
Alejandro Linares-Barranco,
2017,
IWANN.
Chaitali Chakrabarti,
Shimeng Yu,
Jae-sun Seo,
2018,
2018 IEEE International Workshop on Signal Processing Systems (SiPS).
Yu Cao,
Frank Liu,
Jae-sun Seo,
2020,
2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).
Yu Cao,
Sarma B. K. Vrudhula,
Jae-sun Seo,
2017,
FPGA.
Eriko Nurvitadhi,
Jae-sun Seo,
Yu Cao,
2019,
2019 29th International Conference on Field Programmable Logic and Applications (FPL).
Jae-sun Seo,
Shihui Yin,
Deepak Kadetotad,
2020,
IEEE Journal of Solid-State Circuits.
Jae-sun Seo,
Visvesh S. Sathe,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Jae-sun Seo,
Shihui Yin,
Bipin Rajendran,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Shimeng Yu,
Yu Cao,
Jieping Ye,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Patrick Hansen,
Matthew Mattina,
Jae-sun Seo,
2019,
ArXiv.
Yong Liu,
Seongwon Kim,
Daniel J. Friedman,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Gert Cauwenberghs,
Shimeng Yu,
Yu Cao,
2018,
Front. Neurosci..
David Blaauw,
Chaitali Chakrabarti,
Dennis Sylvester,
2016,
Journal of Signal Processing Systems.
David Blaauw,
Dennis Sylvester,
Suyoung Bang,
2015,
2015 Symposium on VLSI Circuits (VLSI Circuits).
David Blaauw,
Dennis Sylvester,
Jae-sun Seo,
2008,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jae-sun Seo,
Mingoo Seok,
Deliang Fan,
2021,
2021 58th ACM/IEEE Design Automation Conference (DAC).
Algorithm-Hardware Co-Optimization for Energy-Efficient Drone Detection on Resource-Constrained FPGA
Jae-sun Seo,
Shreyas K. Venkataramanaiah,
Han-Sok Suh,
2021,
2021 International Conference on Field-Programmable Technology (ICFPT).
Eriko Nurvitadhi,
Vaughn Betz,
Jae-sun Seo,
2021,
2021 31st International Conference on Field-Programmable Logic and Applications (FPL).
RA-BNN: Constructing Robust & Accurate Binary Neural Network to Simultaneously Defend Adversarial Bit-Flip Attack and Improve Accuracy
pdf
Chaitali Chakrabarti,
Jae-sun Seo,
Deliang Fan,
2021,
ArXiv.
Patrick Hansen,
Jae-sun Seo,
Paul N. Whatmough,
2021,
2021 31st International Conference on Field-Programmable Logic and Applications (FPL).
Chaitali Chakrabarti,
Ümit Y. Ogras,
Jae-sun Seo,
2021,
ACM Trans. Embed. Comput. Syst..
Jae-sun Seo,
Wangxin He,
Bipin Rajendran,
2021,
2021 IEEE International Symposium on Circuits and Systems (ISCAS).
Minkyu Kim,
Jae-sun Seo,
Mingoo Seok,
2021,
2021 Symposium on VLSI Circuits.
Chaitali Chakrabarti,
Ümit Y. Ogras,
Jae-sun Seo,
2021,
ACM J. Emerg. Technol. Comput. Syst..
Xiaochen Peng,
Shimeng Yu,
Jae-sun Seo,
2021,
2021 IEEE International Reliability Physics Symposium (IRPS).
Xiaoyu Sun,
Shimeng Yu,
Jae-sun Seo,
2021,
2021 IEEE International Reliability Physics Symposium (IRPS).
Yandong Luo,
Shimeng Yu,
Jae-sun Seo,
2020,
2020 IEEE International Reliability Physics Symposium (IRPS).