Vinicius S. Livramento

发表

José Luís Almada Güntzel, Vinicius S. Livramento, Bruno George de Moraes, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

José Luís Almada Güntzel, Vinicius S. Livramento, Luiz Cláudio Villar dos Santos, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Derong Liu, David Z. Pan, Duo Ding, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Marcelo de Oliveira Johann, José Luís Almada Güntzel, Vinicius S. Livramento, 2012, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012).

José Luís Almada Güntzel, Vinicius S. Livramento, Luiz Cláudio Villar dos Santos, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

José Luís Almada Güntzel, Vinicius S. Livramento, Bruno George de Moraes, 2011, SBCCI '11.

José Luís Almada Güntzel, Vinicius S. Livramento, Luiz Cláudio Villar dos Santos, 2016, ACM Trans. Design Autom. Electr. Syst..

José Luís Almada Güntzel, Vinicius S. Livramento, Luiz Cláudio Villar dos Santos, 2015, ISPD.

Laércio Lima Pilla, José Luís Almada Güntzel, Márcio Bastos Castro, 2016, 2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS).

Laércio Lima Pilla, José Luís Almada Güntzel, Vinicius S. Livramento, 2019, ISPD.

Laércio Lima Pilla, José Luís Almada Güntzel, Vinicius S. Livramento, 2017, ISPD.

José Luís Almada Güntzel, Vinicius S. Livramento, Luiz Cláudio Villar dos Santos, 2016, 2016 29th Symposium on Integrated Circuits and Systems Design (SBCCI).

Marcelo de Oliveira Johann, José Luís Almada Güntzel, Vinicius S. Livramento, 2014, ACM Trans. Design Autom. Electr. Syst..

Laércio Lima Pilla, José Luís Almada Güntzel, Vinicius S. Livramento, 2017, 2017 30th Symposium on Integrated Circuits and Systems Design (SBCCI).

Laércio Lima Pilla, José Luís Almada Güntzel, Vinicius S. Livramento, 2018, 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI).

David Z. Pan, Xiaoqing Xu, Vinicius S. Livramento, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Marcelo de Oliveira Johann, José Luís Almada Güntzel, Vinicius S. Livramento, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Laércio Lima Pilla, José Luís Almada Güntzel, Vinicius S. Livramento, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.