Michael B. Henry

发表

Meeta Srivastav, Michael B. Henry, Leyla Nazhandali, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Michael B. Henry, Leyla Nazhandali, 2011, Trans. High Perform. Embed. Archit. Compil..

Michael B. Henry, Leyla Nazhandali, Steven B. Griffin, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Patrick Schaumont, Xu Guo, Meeta Srivastav, 2013, Microprocess. Microsystems.

David Blaauw, Dennis Sylvester, Yejoong Kim, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Michael B. Henry, Leyla Nazhandali, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Michael B. Henry, Shamik Das, Shamik Das, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Michael B. Henry, Leyla Nazhandali, Lalleh Rafeei, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Michael B. Henry, Leyla Nazhandali, Syed Imtiaz Haider, 2008, CASES '08.

Michael B. Henry, Leyla Nazhandali, 2012, 17th Asia and South Pacific Design Automation Conference.

Michael B. Henry, Leyla Nazhandali, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Patrick Schaumont, Xu Guo, Meeta Srivastav, 2011, 2011 14th Euromicro Conference on Digital System Design.

Meeta Srivastav, Michael B. Henry, Leyla Nazhandali, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Meeta Srivastav, Michael B. Henry, Leyla Nazhandali, 2013, TODE.

David Blaauw, Dennis Sylvester, Yejoong Kim, 2014, IEEE Journal of Solid-State Circuits.

Patrick Schaumont, Xu Guo, Meeta Srivastav, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

David Blaauw, David D. Wentzloff, Dennis Sylvester, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

David Blaauw, Dennis Sylvester, Yoonmyung Lee, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Dimitrios Peroulis, Michael B. Henry, Leyla Nazhandali, 2011, 2011 24th Internatioal Conference on VLSI Design.

Patrick Schaumont, Meeta Srivastav, Michael B. Henry, 2011 .

Michael B. Henry, D. Fick, Skylar Skrzyniarz, 2022, 2022 IEEE International Solid- State Circuits Conference (ISSCC).

David Blaauw, Dennis Sylvester, Yejoong Kim, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).