Krishnamurthy Soumyanath

发表

Yorgos Palaskas, Ashoke Ravi, Hongtao Xu, 2011, IEEE Journal of Solid-State Circuits.

Krishnamurthy Soumyanath, Chang-Tsung Fu, Hasnain Lakdawala, 2011, 2011 IEEE International Solid-State Circuits Conference.

Naresh R. Shanbhag, Samuel Martin, Krishnamurthy Soumyanath, 2000, ISLPED '00.

Marian Verhelst, Yorgos Palaskas, Ashoke Ravi, 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

Atila Alvandpour, Ram Krishnamurthy, Shekhar Borkar, 2001, VLSIC 2001.

Yorgos Palaskas, Ashoke Ravi, Krishnamurthy Soumyanath, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Hyung Seok Kim, Ashoke Ravi, Krishnamurthy Soumyanath, 2012, 2012 IEEE International Solid-State Circuits Conference.

David J. Allstot, Krishnamurthy Soumyanath, Gaurab Banerjee, 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

Atila Alvandpour, Ram Krishnamurthy, Krishnamurthy Soumyanath, 2002, IEEE J. Solid State Circuits.

Krishnamurthy Soumyanath, Scott E. Ritter, 1990, Proceedings., 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Ashoke Ravi, Krishnamurthy Soumyanath, L. R. Carley, 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

Marian Verhelst, Hyung Seok Kim, Satoshi Suzuki, 2013, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Vivek De, Ali Keshavarzi, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Yorgos Palaskas, Ashoke Ravi, Stefano Pellerano, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Atila Alvandpour, Ram Krishnamurthy, Krishnamurthy Soumyanath, 2002 .

Jeffrey S. Walling, Yorgos Palaskas, Ashoke Ravi, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Mircea R. Stan, Sriram R. Vangal, Vasantha Erraguntla, 2001 .

Arijit Raychowdhury, Krishnamurthy Soumyanath, Greg Taylor, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Atila Alvandpour, Ram Krishnamurthy, Shekhar Y. Borkar, 2001, ISLPED '01.

Chun Lee, Stefano Pellerano, Krishnamurthy Soumyanath, 2012, 2012 IEEE International Solid-State Circuits Conference.

Ram Krishnamurthy, Krishnamurthy Soumyanath, Kaizad Mistry, 2001 .

L. Richard Carley, Krishnamurthy Soumyanath, Hasnain Lakdawala, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Mark Anders, Ram Krishnamurthy, Shekhar Y. Borkar, 2001, GLSVLSI '01.

Yorgos Palaskas, Ashoke Ravi, Krishnamurthy Soumyanath, 2010, 2010 Proceedings of ESSCIRC.

David J. Allstot, Krishnamurthy Soumyanath, Gaurab Banerjee, 2004, Proceedings of the 2004 11th IEEE International Conference on Electronics, Circuits and Systems, 2004. ICECS 2004..

L. Richard Carley, Krishnamurthy Soumyanath, Hasnain Lakdawala, 2009 .

David J. Allstot, Krishnamurthy Soumyanath, Jeyanandh Paramesh, 2006 .

Naoya Torii, Ali Keshavarzi, Masahiko Takenaka, 2004 .

Vivek De, Siva G. Narendra, Krishnamurthy Soumyanath, 2004 .

Krishnamurthy Soumyanath, Hasnain Lakdawala, Kevin T. Kornegay, 2010 .

Krishnamurthy Soumyanath, Ram Krishnamurthy, Shekhar Borkar, 2002 .

David J. Allstot, Krishnamurthy Soumyanath, Gaurab Banerjee, 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

Krishnamurthy Soumyanath, Chunyan Zhou, B. Bloechel, 1999 .

Un-Ku Moon, Krishnamurthy Soumyanath, Sunwoo Kwon, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Krishnamurthy Soumyanath, Hasnain Lakdawala, Kevin T. Kornegay, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.