Deog-Kyoon Jeong

发表

Kyu-Sang Park, Byoung-Joo Yoo, Moon-Sang Hwang, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Byungjun Kang, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Deog-Kyoon Jeong, Jaeha Kim, Won-Jun Choe, 2007, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Kwanseo Park, Minkyo Shim, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Deog-Kyoon Jeong, Sung Joon Kim, Kyeongho Lee, 1996 .

Deog-Kyoon Jeong, Gyu-Seob Jeong, Jiho Joo, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Gyudong Kim, Jong-Seok Kim, 2000, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Jaeha Kim, 2017, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Haram Ju, Han-Gon Ko, 2019, 2019 International Conference on Electronics, Information, and Communication (ICEIC).

Deog-Kyoon Jeong, Gyudong Kim, Gijung Ahn, 2000, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Han-Gon Ko, 2019, IEEE Access.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Jiho Joo, 2015, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Kang-Yoon Lee, Wonchan Kim, 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

Deog-Kyoon Jeong, Jong-Sang Choi, Moon-Sang Hwang, 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).

Deog-Kyoon Jeong, Kwanseo Park, Jeongho Hwang, 2018, IEEE Journal of Solid-State Circuits.

Kwangho Lee, Deog-Kyoon Jeong, Gyu-Seob Jeong, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Han-Kyu Lim, Jiho Han, 2004 .

Deok-Soo Kim, Deog-Kyoon Jeong, Heesoo Song, 2010, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Woorham Bae, 2020 .

Deog-Kyoon Jeong, Jaeha Kim, Gyudong Kim, 2009, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Taeho Kim, Sang-Hyeok Chu, 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Chul-Ki Lee, Jae-Hwa Kwak, 2007, IET Commun..

Deog-Kyoon Jeong, Heesoo Song, Sungchun Jang, 2011, 2011 IEEE International Solid-State Circuits Conference.

Suhwan Kim, Deog-Kyoon Jeong, Heesoo Song, 2009 .

Deog-Kyoon Jeong, Wonchan Kim, Min-Kyu Kim, 1995, ESSCIRC '95: Twenty-first European Solid-State Circuits Conference.

Deog-Kyoon Jeong, Wonchan Kim, Hwi-Cheol Kim, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chulwoo Kim, Deog-Kyoon Jeong, Woo-Seok Choi, 2011, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Kang-Yoon Lee, Wonchan Kim, 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

Deog-Kyoon Jeong, Han-Gon Ko, Chan-Ho Kye, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Han-Gon Ko, Sung-Yong Cho, 2015, ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Deog-Kyoon Jeong, Hyunjoong Lee, 2013, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Jiho Joo, 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Hye-Yoon Joo, 2019, 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Minho Choi, D. Jeong, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Jin-Hee Lee, Deog-Kyoon Jeong, Joon-Young Park, 2007 .

Deog-Kyoon Jeong, Gyu-Seob Jeong, Jun-Eun Park, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Haram Ju, Kwanseo Park, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Moon-Sang Hwang, Deog-Kyoon Jeong, Bong-Joon Lee, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

Deog-Kyoon Jeong, Kwangho Lee, Hyojun Kim, 2018, 2018 International Conference on Electronics, Information, and Communication (ICEIC).

Deog-Kyoon Jeong, Gyu-Seob Jeong, Kwanseo Park, 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

Deog-Kyoon Jeong, Kwanseo Park, Jinhyung Lee, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Deog-Kyoon Jeong, Jung-Hoon Chun, 2011, 2011 IEEE International Solid-State Circuits Conference.

Deog-Kyoon Jeong, Yong Moon, D. Jeong, 1996, IEEE J. Solid State Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Kwanseo Park, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Deog-Kyoon Jeong, Kwanseo Park, Hyojun Kim, 2019, 2019 Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Inho Song, Wonchan Kim, 2003, ESSCIRC 2004 - 29th European Solid-State Circuits Conference (IEEE Cat. No.03EX705).

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2019, IEEE Journal of Solid-State Circuits.

Suhwan Kim, Deog-Kyoon Jeong, Moon-Sang Hwang, 2007, 2007 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2018, 2018 IEEE Custom Integrated Circuits Conference (CICC).

Suhwan Kim, Deog-Kyoon Jeong, Hyunjoong Lee, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kwangho Lee, Deog-Kyoon Jeong, Han-Gon Ko, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2013, IEEE Transactions on Industry Applications.

Deog-Kyoon Jeong, Kwanseo Park, Woorham Bae, 2018, IEEE Transactions on Industrial Electronics.

Deog-Kyoon Jeong, Kwanseo Park, Min-Seong Choo, 2019, 2019 Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Yohwan Yoon, D. Jeong, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Deog-Kyoon Jeong, Woorham Bae, Cheol Seong Hwang, 2017, IEEE Transactions on Electron Devices.

Deog-Kyoon Jeong, Jun-Eun Park, Jonghyun Oh, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Jaeha Kim, Sigang Ryu, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Taewhan Kim, Deog-Kyoon Jeong, Yongho Lee, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Taeik Kim, Deog-Kyoon Jeong, Jihyun F. Kim, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2019, IEEE Access.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Sang-Hyeok Chu, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Woo-Rham Bae, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Kwanseo Park, Woo-Rham Bae, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2006, 2006 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Jaeha Kim, Won-Jun Choe, 2005, 2005 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

Deog-Kyoon Jeong, Jun-Eun Park, Dong-Hyuk Lim, 2012 .

Suhwan Kim, Deog-Kyoon Jeong, Joon-Young Park, 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

Deog-Kyoon Jeong, Jun-Eun Park, Gyungock Kim, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Jung-Bae Lee, Youngdon Choi, 2006 .

Deog-Kyoon Jeong, Gyu-Seob Jeong, Hong-Seok Choi, 2018, ISLPED.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Suhwan Kim, Deog-Kyoon Jeong, Woo-Yeol Shin, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Wonchan Kim, Daejong Kim, 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Sang Chul Lee, Dong-il Dan Cho, 2006 .

Deog-Kyoon Jeong, Gyu-Seob Jeong, Sang-Hyeok Chu, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Deog-Kyoon Jeong, Gyu-Seob Jeong, Jeongho Hwang, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Yong Moon, D. Jeong, 1995, Digest of Technical Papers., Symposium on VLSI Circuits..

Deog-Kyoon Jeong, Changsik Yoo, Wonchan Kim, 2001, Proceedings of the 27th European Solid-State Circuits Conference.

Deog-Kyoon Jeong, Wonchan Kim, Kyeongho Lee, 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

Deog-Kyoon Jeong, Sang-Hyun Yangcheon-Gu Lee, D. Jeong, 2001 .

Deog-Kyoon Jeong, Han-Gon Ko, Sung-Yong Cho, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Moon-Sang Hwang, Deog-Kyoon Jeong, Sang-soo Kim, 2011 .

Sang Lyul Min, Deog-Kyoon Jeong, Chong-Sang Kim, 1995, Proceedings of 1995 1st IEEE Symposium on High Performance Computer Architecture.

Deog-Kyoon Jeong, Chul Ki Lee, Yong Chul Shim, 2007, J. High Speed Networks.

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2013, 2013 IEEE Energy Conversion Congress and Exposition.

Deog-Kyoon Jeong, Joong-Seok Moon, In-Ho Joong, 1996 .

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Jun-Eun Park, Jonghyun Oh, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

Deog-Kyoon Jeong, Yeshik Shin, Hyung-Rok Lee, 1998, Proceedings 23rd Annual Conference on Local Computer Networks. LCN'98 (Cat. No.98TB100260).

Hankyu Chi, Deog-Kyoon Jeong, Jiho Han, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Deog-Kyoon Jeong, Jaeha Kim, 2003, IEEE Commun. Mag..

Deog-Kyoon Jeong, Jonghoon Lee, Sangho Yoon, 2008, IEEE Communications Magazine.

Deog-Kyoon Jeong, Keewook Jung, Ook Kim, 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

Suhwan Kim, Deog-Kyoon Jeong, Han-Gon Ko, 2019, 2019 Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Minho Choi, Chan-Ho Kye, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Taeik Kim, Deog-Kyoon Jeong, Gyu-Seob Jeong, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Deog-Kyoon Jeong, Kang-Yoon Lee, 2007, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Kang-Yoon Lee, Wonchan Kim, 2003 .

Sang Lyul Min, Heonshik Shin, Deog-Kyoon Jeong, 1993, Microprocess. Microprogramming.

Deog-Kyoon Jeong, Jun-Eun Park, Gyungock Kim, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Suhwan Kim, Deog-Kyoon Jeong, Sung Bae Park, 2008, IEEE Transactions on Electron Devices.

Deog-Kyoon Jeong, Do-Wan Kim, 2000, Proceedings of the 26th European Solid-State Circuits Conference.

Deog-Kyoon Jeong, Byungjun Kang, Haram Ju, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Jaeha Kim, Woo-Rham Bae, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Taeho Kim, 2012, 2012 International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

Deog-Kyoon Jeong, Woorham Bae, 2014, 2014 International Conference on Electronics, Information and Communications (ICEIC).

Deog-Kyoon Jeong, Haram Ju, Kwanseo Park, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Kwanseo Park, Han-Gon Ko, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Gyu-Seob Jeong, Kwanseo Park, 2019, 2019 Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Jaejin Park, Hojin Park, 2014, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Woo-Rham Bae, 2017, Sensors.

Hankyu Chi, Deog-Kyoon Jeong, Jaeha Kim, 2008, 2008 IEEE Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Young-June Park, Byoung-Mo Moon, 2008, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2017, 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Jun-Eun Park, Dong-Hyuk Lim, 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

Deog-Kyoon Jeong, Sung Joon Kim, Kyeongho Lee, 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.

Suhwan Kim, Deog-Kyoon Jeong, Young-Deok Kim, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Deog-Kyoon Jeong, Borivoje Nikolić, Woorham Bae, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Wonchan Kim, Hayun Chung, 2003 .

Suhwan Kim, Hankyu Chi, Deog-Kyoon Jeong, 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Bong-Joon Lee, Moon-Sang Hwang, 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

Deog-Kyoon Jeong, Han-Gon Ko, Sung-Yong Cho, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kyungock Kim, Deog-Kyoon Jeong, Gyu-Seob Jeong, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Han-Gon Ko, Jonghyun Oh, 2020, 2020 IEEE Symposium on VLSI Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Suhwan Kim, Deog-Kyoon Jeong, Han-Gon Ko, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Deog-Kyoon Jeong, Gi-Moon Hong, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Woo-Rham Bae, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Deog-Kyoon Jeong, Kyeongho Lee, Sung Joon Kim, 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

Deog-Kyoon Jeong, Masashi Hashimoto, 橋本 征史, 1994 .

Deog-Kyoon Jeong, Kyeongho Lee, Yunho Choi, 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.

Suhwan Kim, Deok-Soo Kim, Deog-Kyoon Jeong, 2009, 2009 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Woo-Rham Bae, Chang-Soo Yoon, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Hankyu Chi, Deog-Kyoon Jeong, Gyu-Seob Jeong, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Gijung Ahn, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Deog-Kyoon Jeong, Woo-Rham Bae, Byoung-Joo Yoo, 2014, 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Deog-Kyoon Jeong, Heesoo Song, Sungchun Jang, 2011 .

Deog-Kyoon Jeong, Jun-Eun Park, Jonghyun Oh, 2019, 2019 International Conference on Electronics, Information, and Communication (ICEIC).

Deog-Kyoon Jeong, Heesoo Song, Ho Young Song, 2009, 2009 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Byung-Jun Kang, Chan-Ho Kye, 2018, 2018 International Conference on Electronics, Information, and Communication (ICEIC).

Randy H. Katz, Deog-Kyoon Jeong, D. A. Hodges, 1987 .

Deog-Kyoon Jeong, Jaeha Kim, Jeong-Kyoum Kim, 2008, 2008 IEEE Asian Solid-State Circuits Conference.

Suhwan Kim, Deog-Kyoon Jeong, Joon-Young Park, 2006 .

Deog-Kyoon Jeong, Gyu-Seob Jeong, Sungwoo Kim, 2019, 2019 Optical Fiber Communications Conference and Exhibition (OFC).

Chulwoo Kim, Byoung-Joo Yoo, Deog-Kyoon Jeong, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Woo-Rham Bae, Byoung-Joo Yoo, 2012, 2012 International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2019, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC).

Deog-Kyoon Jeong, Woo-Rham Bae, Yoonsoo Kim, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Jun-Eun Park, Dong-Hyuk Lim, 2014, IEEE Journal of Solid-State Circuits.

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

Deog-Kyoon Jeong, Han-Gon Ko, Sung-Yong Cho, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Deog-Kyoon Jeong, Do-Wan Kim, 1999, AP-ASIC'99. First IEEE Asia Pacific Conference on ASICs (Cat. No.99EX360).

Suhwan Kim, Deog-Kyoon Jeong, Heesoo Song, 2009, 2009 IEEE International SOC Conference (SOCC).

Deog-Kyoon Jeong, Kwanseo Park, Hyojun Kim, 2020, IEEE Journal of Solid-State Circuits.

Sang Lyul Min, Heonshik Shin, Deog-Kyoon Jeong, 1993, Microprocess. Microsystems.

Deog-Kyoon Jeong, Kwanseo Park, Min-Seong Choo, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Suhwan Kim, Deok-Soo Kim, Deog-Kyoon Jeong, 2011, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2018, 2018 IEEE Symposium on VLSI Circuits.

Suhwan Kim, Deog-Kyoon Jeong, Jaeha Kim, 2007, 2007 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Wonchan Kim, Hwi-Cheol Kim, 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

Hankyu Chi, Deog-Kyoon Jeong, Han-Gon Ko, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Suhwan Kim, Deok-Soo Kim, Deog-Kyoon Jeong, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Deog-Kyoon Jeong, Amnon Fisher, J. Duane Northcutt, 1994, Symposium Record Hot Interconnects II.

Deog-Kyoon Jeong, Jiho Han, 2010, IEEE Transactions on Instrumentation and Measurement.

Deog-Kyoon Jeong, Young-Ha Hwang, Jun-Eun Park, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Hankyu Chi, Deog-Kyoon Jeong, Dong-Wook Kim, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Suhwan Kim, Deog-Kyoon Jeong, Heesoo Song, 2006, 2006 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Jae W. Lee, Young H. Oh, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Deog-Kyoon Jeong, KyungTae Kim, Hankyu Lim, 2005, IEEE Commun. Mag..

Deog-Kyoon Jeong, Wonchan Kim, Chang-Hyun Kim, 2006, 2006 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Wonchan Kim, Jeongho Lee, 2000 .

Taewhan Kim, Deog-Kyoon Jeong, Yongho Lee, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Moon-Chul Choi, Seungha Roh, 2021, 2021 18th International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Jun-Eun Park, Sung-Yong Cho, 2022, IEEE Transactions on Power Electronics.

Deog-Kyoon Jeong, Daeho Yun, 2021, 2021 18th International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Haram Ju, Woosong Jung, 2021, 2021 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Minkyo Shim, Woonghee Lee, 2021, 2021 18th International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Minkyo Shim, Han-Gon Ko, 2021, ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC).

Deog-Kyoon Jeong, Bong-Joon Lee, Wonchan Kim, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

Hankyu Chi, Deog-Kyoon Jeong, Gyu-Seob Jeong, 2015, Optics express.

Deog-Kyoon Jeong, Moon-Sang Hwang, Jaeha Kim, 2009 .

Deog-Kyoon Jeong, Dong-Hyuk Lim, Jun-Eun Park, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Deog-Kyoon Jeong, Jung-Hoon Chun, Woo-Yeol Shin, 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Deog-Kyoon Jeong, Gyu-Seob Jeong, Haram Ju, 2016, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Jeong-Kyoum Kim, Jaeha Kim, 2006, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Gijung Ahn, Yongsam Moon, 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

Deog-Kyoon Jeong, Kyeongho Lee, Yongsam Moon, 2000, IEEE Journal of Solid-State Circuits.

Deog-Kyoon Jeong, Sungchun Jang, Anil Kavala, 2010, 2010 International SoC Design Conference.

Deog-Kyoon Jeong, Joongsik Kih, Byungsoo Chang, 1993 .