Vijay Janapa Reddi

发表

Wenzhi Cui, Aleksandra Faust, Behzad Boroujerdian, 2019, ArXiv.

Gu-Yeon Wei, Vijay Janapa Reddi, Timothy M. Jones, 2013 .

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Carole-Jean Wu, Gu-Yeon Wei, David A. Patterson, 2020, IEEE Micro.

Mark D. Hill, Vijay Janapa Reddi, V. Reddi, 2019, Commun. ACM.

David Patterson, Carole-Jean Wu, Gu-Yeon Wei, 2019, MLSys.

Peter Bailis, Vijay Janapa Reddi, Yuhao Zhu, 2016, CACM.

Vijay Janapa Reddi, Robert S. Cohn, Alex Settle, 2004, WCAE '04.

Ling Huang, Vijay Janapa Reddi, Mohit Tiwari, 2016, ArXiv.

Harish Patil, Vijay Janapa Reddi, Kim M. Hazelwood, 2005, PLDI '05.

Jian Li, Advait Jain, Vijay Janapa Reddi, 2020, ArXiv.

Shiao-Li Tsao, Hasan Genc, Vijay Janapa Reddi, 2018, IEEE Micro.

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Vijay Janapa Reddi, Russell M. Clapp, Daniel Richins, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Ankit Patel, Vijay Janapa Reddi, Ramesh Illikkal, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Behzad Boroujerdian, Vijay Janapa Reddi, Matthew Halpern, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Ankit Patel, Vijay Janapa Reddi, Ramesh Illikkal, 2020, ArXiv.

Mark D. Hill, Vijay Janapa Reddi, V. Reddi, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Sani R. Nassif, Keith A. Bowman, David Z. Pan, 2012, 17th Asia and South Pacific Design Automation Conference.

Chris H. Kim, Vijay Janapa Reddi, Xiaofei Wang, 2014, 2014 IEEE International Reliability Physics Symposium.

Jingwen Leng, Vijay Janapa Reddi, Alper Buyuktosunoglu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2017, ACM Trans. Comput. Syst..

David M. Brooks, Vijay Janapa Reddi, D. Brooks, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Aleksandra Faust, Behzad Boroujerdian, Srivatsan Krishnan, 2019 .

Dirk Grunwald, Tipp Moseley, Vijay Janapa Reddi, 2005, CF '05.

Michael D. Smith, Vijay Janapa Reddi, Robert S. Cohn, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2016, PLDI.

David M. Brooks, Margo I. Seltzer, Peter Bailis, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kushagra Vaid, Benjamin C. Lee, Vijay Janapa Reddi, 2011, TOCS.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Aleksandra Faust, Srivatsan Krishnan, Vijay Janapa Reddi, 2019, ArXiv.

Minyi Guo, Quan Chen, Pradip Bose, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Vijay Janapa Reddi, Hongil Yoon, Allan Knies, 2018, IEEE Micro.

Gu-Yeon Wei, Paul N. Whatmough, Aleksandra Faust, 2020, IEEE Computer Architecture Letters.

Indrani Paul, Wei Huang, Vijay Janapa Reddi, 2017, IEEE Micro.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Lingjia Tang, Vijay Janapa Reddi, Aaron Smith, 2017, IEEE/ACM International Symposium on Code Generation and Optimization.

Christopher Gill, Xin He, Vijay Janapa Reddi, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Osman S. Unsal, Adrián Cristal, Behzad Salami, 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Kushagra Vaid, Benjamin C. Lee, Vijay Janapa Reddi, 2010, ISCA.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, TACO.

Carole-Jean Wu, Peng Meng, Gennady Pekhimenko, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Michael D. Smith, Gu-Yeon Wei, David M. Brooks, 2011, IEEE Micro.

Behzad Boroujerdian, Hasan Genc, Srivatsan Krishnan, 2018 .

Meeta Sharma Gupta, Vijay Janapa Reddi, 2013, Resilient Architecture Design for Voltage Variation.

Vijay Janapa Reddi, Charles Lefurgy, Daniel Richins, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Vijay Janapa Reddi, Hyesoon Kim, 2016, IEEE Micro.

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

David Patterson, Jae-sun Seo, Vijay Janapa Reddi, 2020, ArXiv.

Hasan Genc, Vijay Janapa Reddi, Matthew Halpern, 2017, IEEE Micro.

Vijay Janapa Reddi, Robert S. Cohn, Daniel A. Connors, 2005, CARN.

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2016, ICS.

Vijay Janapa Reddi, 2012, 2012 International Conference on Collaboration Technologies and Systems (CTS).

Dirk Grunwald, Tipp Moseley, Vijay Janapa Reddi, 2007, International Symposium on Code Generation and Optimization (CGO'07).

Vijay Janapa Reddi, Maximilian Lam, Colby Banbury, 2020, ArXiv.

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, CGO '12.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Satish Narayanasamy, Bjorn De Sutter, Paolo Ienne, 2013, ACM Trans. Archit. Code Optim..

Michael D. Smith, Gu-Yeon Wei, David M. Brooks, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Vijay Janapa Reddi, Jonathan J. Cruz, Ethan Shaotran, 2019, ArXiv.

Vijay Janapa Reddi, Mohit Tiwari, Mikhail Kazdagli, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors, 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).

Alexander Rush, Alexander M. Rush, Gu-Yeon Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, IEEE Micro.

Kushagra Vaid, Benjamin C. Lee, Vijay Janapa Reddi, 2009 .

Vijay Janapa Reddi, Matthew Halpern, Vijay Chidambaram, 2017, HotStorage.

Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors, 2005, 9th Annual Workshop on Interaction between Compilers and Computer Architectures (INTERACT'05).

Peter Bailis, Vijay Janapa Reddi, Yuhao Zhu, 2016, ACM Queue.

Ling Huang, Vijay Janapa Reddi, Mohit Tiwari, 2014, HASP@ISCA.

Vijay Janapa Reddi, Daniel A. Connors, Alex Shye, 2005, AADEBUG'05.

Behzad Salami, Dimitris Gizopoulos, Jingwen Leng, 2020, IEEE Transactions on Device and Materials Reliability.

Nam Sung Kim, Jingwen Leng, Vijay Janapa Reddi, 2013, ISCA.

Vijay Janapa Reddi, Thanh Thi Nguyen, V. Reddi, 2019, IEEE Transactions on Neural Networks and Learning Systems.

Vijay Janapa Reddi, Matthew Halpern, Yuhao Zhu, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Meeta Sharma Gupta, Jingwen Leng, Vijay Janapa Reddi, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Indrani Paul, Wei Huang, Vijay Janapa Reddi, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Tipp Moseley, Vijay Janapa Reddi, Daniel A. Connors, 2009, IEEE Transactions on Dependable and Secure Computing.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, IEEE Micro.

Xuan Zhang, Jingwen Leng, Vijay Janapa Reddi, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Wenzhi Cui, Vijay Janapa Reddi, Robert S. Cohn, 2016, ICS.

Jingwen Leng, Vijay Janapa Reddi, Yazhou Zu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Alexander Rush, Gu-Yeon Wei, Vijay Janapa Reddi, 2019, ArXiv.

Guido C. H. E. de Croon, Aleksandra Faust, Srivatsan Krishnan, 2019 .

Xin He, Christopher D. Gill, Xuan Zhang, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jingwen Leng, Vijay Janapa Reddi, Yuhao Zhu, 2014, IEEE Computer Architecture Letters.

Vijay Janapa Reddi, 2013, IEEE Micro.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jingwen Leng, Vijay Janapa Reddi, Charles Lefurgy, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Vijay Janapa Reddi, Yuhao Zhu, V. Reddi, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Vijay Janapa Reddi, Silvia M. Figueira, V. Reddi, 2005, Euro-Par.

Vijay Janapa Reddi, Pete Warden, Mark Mazumder, 2021, Interspeech.

Gu-Yeon Wei, Aleksandra Faust, Srivatsan Krishnan, 2021, ArXiv.

Arijit Raychowdhury, Vijay Janapa Reddi, Tianyu Jia, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Eyal de Lara, Gennady Pekhimenko, Srivatsan Krishnan, 2021, MLSys.

Scott Kuindersma, Srinivas Devadas, Thomas Bourgeat, 2021, IEEE Robotics and Automation Letters.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2021, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivatsan Krishnan, Brian Plancher, Vijay Janapa Reddi, 2021, Harvard Data Science Review.

Javier Duarte, Jeremy Holleman, Vijay Janapa Reddi, 2021, NeurIPS Datasets and Benchmarks.

Vijay Janapa Reddi, Guido C.H.E. de Croon, Shushuai Li, 2021, 2021 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Aleksandra Faust, Behzad Boroujerdian, Srivatsan Krishnan, 2021, Mach. Learn..

Ajay Joshi, Vijay Janapa Reddi, Zahra Azad, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Christopher D. Gill, Huifeng Zhu, Jingwen Leng, 2021, ACM Trans. Archit. Code Optim..

Srivatsan Krishnan, Vijay Janapa Reddi, Thierry Tambe, 2021, ArXiv.

Satyananda Kashyap, Nicolas Padoy, Grigori Fursin, 2021, Nature Machine Intelligence.

Vijay Janapa Reddi, Maximilian Lam, Mark Mazumder, 2021, NeurIPS Datasets and Benchmarks.

Vijay Janapa Reddi, Gongyu Wang, Ayon Basumallik, 2021, ArXiv.

Vijay Janapa Reddi, Pete Warden, David Kanter, 2021, ArXiv.

Behzad Boroujerdian, Brian Plancher, Vijay Janapa Reddi, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Scott Kuindersma, Brian Plancher, Vijay Janapa Reddi, 2021, ArXiv.

Behzad Boroujerdian, Vijay Janapa Reddi, Lavanya Subramanian, 2022, ArXiv.

Carole-Jean Wu, Gennady Pekhimenko, Thomas B. Jablin, 2021, IEEE Micro.

Guido C. H. E. de Croon, Aleksandra Faust, Srivatsan Krishnan, 2021, 2021 IEEE International Conference on Robotics and Automation (ICRA).

Vijay Janapa Reddi, Maximilian Lam, Colby R. Banbury, 2021, 2021 30th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Zhuoran Zhao, Vijay Janapa Reddi, Lauren Guckert, 2013 .

Harish Patil, Vijay Janapa Reddi, Kim M. Hazelwood, 2005, PLDI.

Ajay Joshi, Vijay Janapa Reddi, Zahra Azad, 2019 .