Tao Lv

发表

Huawei Li, Xiaowei Li, Zijian He, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 19th IEEE Asian Test Symposium.

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Tao Zhang, Xiaowei Li, Tao Lv, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Huawei Li, Xiaowei Li, Yang Zhao, 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

Huawei Li, Xiaowei Li, Tao Lv, 2009, 2009 27th IEEE VLSI Test Symposium.

Huawei Li, Xiaowei Li, Yanhong Zhou, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xiaowei Li, Wei Lu, Tao Lv, 2005, Journal of Computer Science and Technology.

Haitao Wang, Feng Jing, Tao Lv, 2019, 2019 IEEE 4th Advanced Information Technology, Electronic and Automation Control Conference (IAEAC).

Jian Wang, Huawei Li, Xiaowei Li, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xiaowei Li, Tao Lv, Jianping Fan, 2003, 2003 Test Symposium.

Xiaowei Li, Huawei Li, Yongjun Xu, 2003, ASICON 2003.

Arjan Kuijper, Bin He, Tao Lv, 2019, IEEE Access.

Yuan Jia, Tao Lv, Fu Rong Xie, 2010, 2010 International Conference on Information, Networking and Automation (ICINA).

Yu Hu, Huawei Li, Xiaowei Li, 2004, 13th Asian Test Symposium.

Guohui Li, Lansheng Han, Cai Fu, 2017, 2017 IEEE 2nd International Conference on Big Data Analysis (ICBDA)(.

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 IEEE International Test Conference.

Jian Wang, Huawei Li, Xiaowei Li, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 28th VLSI Test Symposium (VTS).

Rui Wang, Tao Lv, Yongming Qiao, 2020, 2020 12th International Conference on Intelligent Human-Machine Systems and Cybernetics (IHMSC).