Shekhar Srikantaiah

发表

Mary Jane Irwin, Mahmut Kandemir, Taylan Yemliha, 2008, ICCAD 2008.

Mahmut T. Kandemir, Rajat Garg, Shekhar Srikantaiah, 2011, PPoPP '11.

Mahmut T. Kandemir, Shekhar Srikantaiah, Hui Zhao, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mahmut T. Kandemir, Ozcan Ozturk, Taylan Yemliha, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Tao Zhang, Mahmut T. Kandemir, Mary Jane Irwin, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Mahmut T. Kandemir, Shekhar Srikantaiah, Myoungsoo Jung, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mahmut Kandemir, Ozcan Ozturk, Taylan Yemliha, 2008, ICCAD 2008.

Mahmut T. Kandemir, Shekhar Srikantaiah, 2010, HiPEAC.

Mahmut T. Kandemir, Mary Jane Irwin, Yuanrui Zhang, 2010, PLDI '10.

Mahmut T. Kandemir, Christina M. Patrick, Shekhar Srikantaiah, 2010, ICS '10.

Feng Zhao, Shekhar Srikantaiah, Aman Kansal, 2008, CLUSTER 2008.

Mahmut T. Kandemir, Mary Jane Irwin, Mustafa Karaköy, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Mahmut T. Kandemir, Mary Jane Irwin, Shekhar Srikantaiah, 2008, ASPLOS.

Mahmut T. Kandemir, Mary Jane Irwin, Shekhar Srikantaiah, 2012, DAC Design Automation Conference 2012.

Mahmut T. Kandemir, Chita R. Das, Asit K. Mishra, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Mahmut T. Kandemir, Rajat Garg, Shekhar Srikantaiah, 2011, 2011 11th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing.

Mahmut T. Kandemir, Shekhar Srikantaiah, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Mahmut T. Kandemir, Shekhar Srikantaiah, Myoungsoo Jung, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Mahmut T. Kandemir, Christina M. Patrick, Shekhar Srikantaiah, 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.

Mahmut T. Kandemir, Chita R. Das, Reetuparna Das, 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.

Mahmut T. Kandemir, Qian Wang, Shekhar Srikantaiah, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mahmut T. Kandemir, Chita R. Das, Asit K. Mishra, 2011, SIGMETRICS.

Mahmut T. Kandemir, Chita R. Das, Asit K. Mishra, 2010, SIGMETRICS '10.

Mahmut T. Kandemir, Seung Woo Son, Shekhar Srikantaiah, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Mahmut T. Kandemir, Chita R. Das, Asit K. Mishra, 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.

Chita R. Das, Mahmut Kandemir, Asit K. Mishra, 2011, PERV.