Hsin-Wen Wei

发表

Wei-Kuan Shih, Kwei-Jay Lin, Hsin-Wen Wei, 2008, Inf. Process. Lett..

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2017, 2017 International Conference on Computing, Networking and Communications (ICNC).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2015, 2015 IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom).

Che-Wei Hsu, Hsin-Wen Wei, Tin-Yu Wu, 2015, J. Inf. Hiding Multim. Signal Process..

Wei-Kuan Shih, Tseng-Yi Chen, Hsin-Wen Wei, 2014, 2014 IEEE 11th International Conference on Mobile Ad Hoc and Sensor Systems.

Wei-Kuan Shih, Shun-Shii Lin, Kwei-Jay Lin, 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

Wei-Kuan Shih, Yuan-Hao Chang, Yen-Ting Chen, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tsan-sheng Hsu, Tseng-Yi Chen, Hsin-Wen Wei, 2021, Future Gener. Comput. Syst..

Wei-Kuan Shih, Yuan-Hao Chang, Zhao-Rong Lai, 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2016, 2016 IEEE 37th Sarnoff Symposium.

Jenq-Shiou Leu, Tseng-Yi Chen, Hsin-Wen Wei, 2016, Comput. Stand. Interfaces.

Wei-Kuan Shih, Tsan-sheng Hsu, Yung-Chun Chang, 2015, 2015 IEEE 82nd Vehicular Technology Conference (VTC2015-Fall).

Wei-Tsong Lee, Hsin-Wen Wei, Chi-You Wei, 2019, 2019 IEEE International Conference on Consumer Electronics - Taiwan (ICCE-TW).

Wei-Kuan Shih, Hsin-Wen Wei, Tien-Ho Chen, 2011, J. Netw. Comput. Appl..

Wei-Kuan Shih, Yen-Ting Chen, Yuan-Hao Chang, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei-Tsong Lee, Hsin-Wen Wei, Kai-Po Chuang, 2016 .

Wei-Kuan Shih, Jenq-Shiou Leu, Hsin-Wen Wei, 2011, J. Inf. Sci. Eng..

Wei-Kuan Shih, Yuan-Hao Chang, Ming-Chang Yang, 2018, IEEE Transactions on Computers.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2017, 2017 IEEE 36th International Performance Computing and Communications Conference (IPCCC).

Wei-Kuan Shih, Tsan-sheng Hsu, Che-Rung Lee, 2013, J. Interconnect. Networks.

Yuan-Hao Chang, Tseng-Yi Chen, Hsin-Wen Wei, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hsin-Wen Wei, Wei-Tsong Lee, Zhong-Xing Xie, 2015 .

Tsan-sheng Hsu, Hsin-Wen Wei, Yen-Chiu Chen, 2010, Theor. Comput. Sci..

Wei-Kuan Shih, Tseng-Yi Chen, Hsin-Wen Wei, 2016, 2016 IEEE 37th Sarnoff Symposium.

Wei-Kuan Shih, Kwei-Jay Lin, Hsin-Wen Wei, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Wei-Kuan Shih, Yuan-Hao Chang, Hsin-Wen Wei, 2018, IEEE Transactions on Computers.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.

Ming-Yang Kao, Wei-Kuan Shih, Subhash C. Sarin, 2018, Lecture Notes in Computer Science.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Wei-Tsong Lee, Hsin-Wen Wei, Yu-Chang Lin, 2014, 2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing.

Tin Yu Wu, Wei-Tsong Lee, Hsin-Wen Wei, 2012, 2012 26th International Conference on Advanced Information Networking and Applications Workshops.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Wei-Tsong Lee, Hsin-Wen Wei, Goay Fuh Yang, 2019 .

Wei-Kuan Shih, Jenq-Shiou Leu, Tseng-Yi Chen, 2011, 2011 IEEE International Conference on Service-Oriented Computing and Applications (SOCA).

Ming-Yang Kao, Wei-Kuan Shih, Pei-Chi Huang, 2010, J. Inf. Sci. Eng..

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2015, ACM Trans. Storage.

Wei-Tsong Lee, Hsin-Wen Wei, Heng Yao Chang, 2014, 2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing.

Wei-Kuan Shih, Tsan-sheng Hsu, Pei-Chi Huang, 2007, Algorithmica.

Wei-Kuan Shih, Yuan-Hao Chang, Ming-Chang Yang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Wei-Tsong Lee, Hsin-Wen Wei, Ming-Zhi Wu, 2013 .

Wei-Kuan Shih, Hsin-Wen Wei, Jia-Ming Chen, 2007, PDPTA.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2017, 2017 26th International Conference on Computer Communication and Networks (ICCCN).

Wei-Kuan Shih, Yen-Ting Chen, Yuan-Hao Chang, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2017, 2017 IEEE International Conference on Cluster Computing (CLUSTER).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2014, 2014 International Conference on Computational Science and Computational Intelligence.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2017, ACM Trans. Embed. Comput. Syst..

Wei-Tsong Lee, Hsin-Wen Wei, Zhong-Xing Xie, 2017, 2017 31st International Conference on Advanced Information Networking and Applications Workshops (WAINA).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2016, 2016 International Conference on Collaboration Technologies and Systems (CTS).

Yuan-Hao Chang, Hsin-Wen Wei, Shuo-Han Chen, 2020, ACM Trans. Embed. Comput. Syst..

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2013, 2013 IEEE International Conference on Cluster Computing (CLUSTER).

Wei-Kuan Shih, Yuan-Hao Chang, Hsin-Wen Wei, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wei-Tsong Lee, Hsin-Wen Wei, I-Han Lee, 2019, 2019 IEEE International Conference on Consumer Electronics - Taiwan (ICCE-TW).

Wei-Kuan Shih, Tsan-sheng Hsu, Yung-Chun Chang, 2017, 2017 IEEE International Conference on Smart Cloud (SmartCloud).

Tseng-Yi Chen, Hsin-Wen Wei, Yen-Chiu Chen, 2011, Int. J. Granul. Comput. Rough Sets Intell. Syst..

Wei-Tsong Lee, Hsin-Wen Wei, Wei Jyun Wong, 2014, 2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2014, 2014 14th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei-Kuan Shih, Pei-Chi Huang, Hsin-Wen Wei, 2005, 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'05).

Wei-Kuan Shih, Kwei-Jay Lin, Hsin-Wen Wei, 2007, Real-Time Systems.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2013, 2013 International Conference on Collaboration Technologies and Systems (CTS).

Wei-Tsong Lee, Hsin-Wen Wei, Vooi-Voon Yap, 2017, TENCON 2017 - 2017 IEEE Region 10 Conference.

Wei-Tsong Lee, Hsin-Wen Wei, Chen Wei Chang, 2014, 2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing.

Wei-Kuan Shih, Tsan-sheng Hsu, Yung-Chun Chang, 2017, 2017 IEEE 2nd International Workshops on Foundations and Applications of Self* Systems (FAS*W).

Wei-Kuan Shih, Yuan-Hao Chang, Hsin-Wen Wei, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Wei-Kuan Shih, Tsan-sheng Hsu, Pei-Chi Huang, 2007, AAIM.

Wei-Kuan Shih, Kwei-Jay Lin, Hsin-Wen Wei, 2007, 19th Euromicro Conference on Real-Time Systems (ECRTS'07).

Kwei-Jay Lin, Hsin-Wen Wei, Wan-Chen Lu, 2006, 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'06).

Wei-Kuan Shih, Tseng-Yi Chen, Hsin-Wen Wei, 2016, SoutheastCon 2016.

Wei-Kuan Shih, Tseng-Yi Chen, Hsin-Wen Wei, 2013, 2013 IEEE 37th Annual Computer Software and Applications Conference.

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2015, Softw. Pract. Exp..

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2016, 2016 IEEE 37th Sarnoff Symposium.

Wei-Kuan Shih, Yuan-Hao Chang, Hsin-Wen Wei, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2013, 2013 IEEE International Conference on Cluster Computing (CLUSTER).

Wei-Kuan Shih, Yuan-Hao Chang, Ming-Chang Yang, 2016, IEEE Transactions on Computers.

Tseng-Yi Chen, Hsin-Wen Wei, Yu-Pei Liang, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Hsin-Wen Wei, Wan-Chen Lu, Kwei-Jay Lin, 2008, IEEE Transactions on Computers.

Wei-Kuan Shih, Yen-Ting Chen, Hsin-Wen Wei, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yuan-Hao Chang, Tseng-Yi Chen, Hsin-Wen Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2016, 2016 International Conference on Computing, Networking and Communications (ICNC).

Wei-Tsong Lee, Hsin-Wen Wei, Yao-Chiang Yang, 2019, 2019 IEEE 8th Global Conference on Consumer Electronics (GCCE).

Wei-Tsong Lee, Hsin-Wen Wei, Bo-Han Zhang, 2019, 2019 IEEE International Conference on Consumer Electronics - Taiwan (ICCE-TW).

Wei-Kuan Shih, Yuan-Hao Chang, Ming-Chang Yang, 2017, 2017 IEEE 41st Annual Computer Software and Applications Conference (COMPSAC).

Wei-Kuan Shih, Che-Rung Lee, Tseng-Yi Chen, 2012, 2012 12th International Symposium on Pervasive Systems, Algorithms and Networks.

Wei-Kuan Shih, Yuan-Hao Chang, Tseng-Yi Chen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Wei-Chung Cheng, Hsin-Wen Wei, Tin-Yu Wu, 2015 .

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2015, 2015 International Conference on Collaboration Technologies and Systems (CTS).

Wei-Kuan Shih, Tsan-sheng Hsu, Tseng-Yi Chen, 2012, 2012 IEEE Fifth International Conference on Utility and Cloud Computing.

Yeh-Ching Chung, Chih-Tsun Huang, Yi-Cheng Chen, 2011, 2011 IEEE 9th Symposium on Application Specific Processors (SASP).