Jorg Henkel

发表

Jian-Jia Chen, Santiago Pagani, Muhammad Shafique, 2018 .

Jorg Henkel, Muhammad Shafique, 2011 .

Sri Parameswaran, Jorg Henkel, J. Henkel, 2007 .

Jorg Henkel, Thomas Ebi, M. A. Al Faruque, 2008, ICCAD 2008.

Jorg Henkel, H. Lekatsas, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Muhammad Shafique, Jian-Jia Chen, Santiago Pagani, 2018 .

Jorg Henkel, Muhammad Shafique, 2011 .

Muhammad Shafique, Faiq Khalid, Osman Hasan, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jian-Jia Chen, Santiago Pagani, Muhammad Shafique, 2018 .

Muhammad Shafique, Jorg Henkel, 2011 .

Muhammad Usman Karim Khan, Jorg Henkel, Muhammad Shafique, 2018 .

Jorg Henkel, Thomas Ebi, M. A. Al Faruque, 2007, ICCAD 2007.

Muhammad Usman Karim Khan, Jorg Henkel, Muhammad Shafique, 2018 .

Muhammad Usman Karim Khan, Jorg Henkel, Muhammad Shafique, 2018 .

Jian-Jia Chen, Santiago Pagani, Muhammad Shafique, 2018 .

Sri Parameswaran, Talal Bonny, Jorg Henkel, 2007 .

Jorg Henkel, Muhammad Shafique, 2013, ICCAD.

Heba Khdr, Santiago Pagani, Muhammad Shafique, 2018, Adv. Comput..

Muhammad Usman Karim Khan, Jorg Henkel, Muhammad Shafique, 2018 .

Jian-Jia Chen, Santiago Pagani, Muhammad Shafique, 2018 .

Sri Parameswaran, Jorg Henkel, Andhi Janapsatya, 2004 .

Sergio Bampi, Muhammad Shafique, Bruno Zatt, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Muhammad Shafique, Jorg Henkel, 2011 .

Lars Bauer, Jorg Henkel, 2011 .

Muhammad Usman Karim Khan, Jorg Henkel, Muhammad Shafique, 2018 .

Jorg Henkel, Martin Rapp, Ramin Khalili, 2021, ArXiv.

Jorg Henkel, Hussam Amrouch, Sami Salamin, 2021, IEEE Transactions on Computers.

Iraklis Anagnostopoulos, Jorg Henkel, Hussam Amrouch, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Katharina Morik, Jian-Jia Chen, Jorg Henkel, 2022, IEEE Transactions on Computers.

Jorg Henkel, Hussam Amrouch, Paul R. Genssler, 2021, IEEE Transactions on Computers.

Iraklis Anagnostopoulos, Jorg Henkel, Hussam Amrouch, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Iraklis Anagnostopoulos, Jorg Henkel, Hussam Amrouch, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Jorg Henkel, 2021, IEEE Des. Test.