Jia-Wei Chen

发表

Jiun-In Guo, Cheng-Yen Chang, Cheng-An Chien, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Jiun-In Guo, Chingwei Yeh, Jia-Wei Chen, 2005, IEEE Trans. Circuits Syst. Video Technol..

Jiun-In Guo, Cheng-Yen Chang, Cheng-An Chien, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Jiun-In Guo, Yao Li, Hsiu-Cheng Chang, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

Jiun-In Guo, Hsiu-Cheng Chang, Jia-Wei Chen, 2009, IEEE Transactions on Circuits and Systems for Video Technology.

Jia-Wei Chen, Suhel Ahmad Khan, Jiawei Chen, 2015, J. Optim. Theory Appl..

Jiun-In Guo, Jia-Wei Chen, Kuan-Hung Chen, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Jia-Wei Chen, Ting-Ting Mo, Zhi-Jian Lu, 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Jiun-In Guo, Jia-Wei Chen, Jinn-Shyan Wang, 2006, 2006 IEEE International Conference on Multimedia and Expo.

Jia-Wei Chen, Suhel Ahmad Khan, Jiawei Chen, 2015, Appl. Math. Comput..

Jiun-In Guo, Hsiu-Cheng Chang, Jia-Wei Chen, 2007, 2007 IEEE Workshop on Signal Processing Systems.

Jiun-In Guo, Jia-Wei Chen, Jinn-Shyan Wang, 2006, 2006 IEEE International Conference on Acoustics Speech and Signal Processing Proceedings.

Tzung-Pei Hong, I-Hsien Ting, Shyue-Liang Wang, 2013, IIWAS '13.

Jiun-In Guo, Hsiu-Cheng Chang, Jia-Wei Chen, 2006, IEEE Journal of Solid-State Circuits.

Jiun-In Guo, Cheng-An Chien, Hsiu-Cheng Chang, 2011, 2011 International Symposium on Integrated Circuits.

Jiun-In Guo, Jia-Wei Chen, Rei-Chin Ju, 2004, IEEE Transactions on Circuits and Systems for Video Technology.

Jiun-In Guo, Cheng-An Chien, Hsiu-Cheng Chang, 2009, 2009 IEEE Asian Solid-State Circuits Conference.

Jiun-In Guo, Jia-Wei Chen, Jinn-Shyan Wang, 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Jiun-In Guo, Hsiu-Cheng Chang, Jia-Wei Chen, 2011, IEEE Transactions on Consumer Electronics.

Jiun-In Guo, Tien-Fu Chen, Jia-Wei Chen, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Tzung-Pei Hong, I-Hsien Ting, Shyue-Liang Wang, 2016, J. Web Eng..