Yih-Lang Li

发表

Tai-Cheng Lee, Cheng-Yen Yang, Yih-Lang Li, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yih-Lang Li, Ke-Ren Dai, Chien-Hung Lu, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Yih-Lang Li, Peng-Yang Hung, Ying-Shu Lou, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Yih-Lang Li, Wen-Hao Liu, Natarajan Viswanathan, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yih-Lang Li, Ke-Ren Dai, Wen-Hao Liu, 2009, 2009 Asia and South Pacific Design Automation Conference.

Yih-Lang Li, Jin-Yih Li, Wen-Bin Chen, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yih-Lang Li, Peng-Yang Hung, Ying-Shu Lou, 2009 .

Yih-Lang Li, Ying Chao Lai, Cheng Wen Wu, 1997 .

Yih-Lang Li, Shao-Ming Yu, Yiming Li, 2007, International Conference on Computational Science.

Masanori Hashimoto, Yih-Lang Li, Kuen-Wey Lin, 2017, 2017 IEEE Intelligent Vehicles Symposium (IV).

Yih-Lang Li, Iris Hui-Ru Jiang, Nima Karimpour Darav, 2017, ICCAD 2017.

Yih-Lang Li, Yiming Li, Shao-Ming Yu, 2008, Math. Comput. Simul..

Yih-Lang Li, Hidetoshi Onodera, Shih-Ting Lin, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yih-Lang Li, Yiming Li, Shao-Ming Yu, 2008, J. Parallel Distributed Comput..

Yih-Lang Li, Hidetoshi Onodera, Jun Shiomi, 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

Yih-Lang Li, Wen-Hao Liu, Chih-Chien Lin, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Yih-Lang Li, Yen-Hung Lin, De-Shiun Fu, 2009, 2009 IEEE International Conference on Computer Design.

Yih-Lang Li, Radhamanjari Samanta, Ying-Chi Wei, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yih-Lang Li, Hong-Chuan Chi, Muhammad Atif Sarwar, 2020, 2020 21st Asia-Pacific Network Operations and Management Symposium (APNOMS).

Yih-Lang Li, Jin-Yih Li, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yih-Lang Li, Cheng-Wen Wu, 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

Yih-Lang Li, Hong-Yan Su, Chieh-Chu Chen, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yih-Lang Li, Yen-Hung Lin, Yu-Wei Lee, 2011, 2011 12th International Symposium on Quality Electronic Design.

Yih-Lang Li, Yen-Hung Lin, 2010, Design Automation Conference.

Yih-Lang Li, Peng-Yang Hung, Ying-Shu Lou, 2008, ISQED 2008.

Yih-Lang Li, Chih-Ta Lin, Hsin-Yu Chen, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yih-Lang Li, Gi-Joon Nam, Jianli Chen, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Radhamanjari Samanta, Hong-Yan Su, 2017, 2017 2nd IEEE International Conference on Integrated Circuits and Microsystems (ICICM).

Yih-Lang Li, Rasit Onur Topaloglu, Yan-Shiun Wu, 2018, 2018 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Yih-Lang Li, Andrew B. Kahng, Jianli Chen, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Wen-Hao Liu, Yih-Lang Li, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Yih-Lang Li, Wen-Hao Liu, Kai-Yuan Chao, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Cheng-Kok Koh, Yih-Lang Li, Wen-Hao Liu, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yih-Lang Li, Cheng-Wen Wu, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Masanori Hashimoto, Yih-Lang Li, Kuen-Wey Lin, 2018, 2018 19th International Symposium on Quality Electronic Design (ISQED).

Yih-Lang Li, Rung-Bin Lin, Kuen-Wey Lin, 2016, 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Yih-Lang Li, Hong-Chuan Chi, Muhammad Atif Sarwar, 2020, 2020 IEEE Wireless Communications and Networking Conference (WCNC).

Yih-Lang Li, Wen-Hao Liu, Kai-Yuan Chao, 2010, Design Automation Conference.

Yih-Lang Li, Chih-Hao Hsu, Hong-Yan Su, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yih-Lang Li, Wen-Hao Liu, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yih-Lang Li, Yen-Hung Lin, Yu-Wei Lee, 2014, J. Inf. Sci. Eng..

Yih-Lang Li, David Z. Pan, Yongchan Ban, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Iris Hui-Ru Jiang, Zhuo Li, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Gi-Joon Nam, Iris Hui-Ru Jiang, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Yen-Hung Lin, Shu-Hsin Chang, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiyu Shi, Yih-Lang Li, Tzu-Yi Liao, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, David Z. Pan, Bei Yu, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Wen-Hao Liu, Yen-Hung Lin, 2012, 17th Asia and South Pacific Design Automation Conference.

Yih-Lang Li, Yen-Hung Lin, Chih-Ta Lin, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yih-Lang Li, Wen-Hao Liu, Hui-Chi Chen, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yih-Lang Li, Gi-Joon Nam, Iris Hui-Ru Jiang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Shyan-Ming Yuan, Ming-Chih Lai, 2005 .

Yih-Lang Li, Andrew B. Kahng, Jianli Chen, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yih-Lang Li, Hidetoshi Onodera, Shinichi Nishizawa, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yih-Lang Li, Rung-Bin Lin, Yeh-Sheng Lin, 2017, ACM Great Lakes Symposium on VLSI.

Yih-Lang Li, Wen-Hao Liu, Kai-Yuan Chao, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tai-Cheng Lee, Yih-Lang Li, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yih-Lang Li, Ting-Chi Wang, Wen-Hao Liu, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yih-Lang Li, Ke-Ren Dai, Wen-Hao Liu, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yih-Lang Li, Kuen-Wey Lin, Shashank Saurabh, 2016, 2016 International Conference on Advanced Materials for Science and Engineering (ICAMSE).

Cheng-Kok Koh, Yih-Lang Li, Wen-Hao Liu, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yih-Lang Li, Shih-Ting Lin, Hung-Hsiao Wang, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Yih-Lang Li, Hidetoshi Onodera, Shinichi Nishizawa, 2021, IPSJ Trans. Syst. LSI Des. Methodol..