Timothy M. Jones

发表

Gu-Yeon Wei, Vijay Janapa Reddi, Timothy M. Jones, 2013 .

Timothy M. Jones, Joe Savage, 2020 .

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, DAC Design Automation Conference 2012.

Feng Wang, Timothy M. Jones, Luca di Mare, 2018, 2018 IEEE/ACM 8th Workshop on Irregular Applications: Architectures and Algorithms (IA3).

Jyothish Soman, Timothy M. Jones, 2017, 2017 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Sam Ainsworth, Timothy M. Jones, 2020, ISMM.

Timothy M. Jones, Nigel P. Topham, Karthik T. Sundararajan, 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Edwin V. Bonilla, Timothy M. Jones, Christophe Dubach, 2013, ACM Trans. Archit. Code Optim..

Peter G. Neumann, Robert N. M. Watson, Jonathan Woodruff, 2019, MICRO.

Timothy M. Jones, Philip M. Watts, Muhammad Ridwan Madarbux, 2014, Concurr. Comput. Pract. Exp..

Timothy M. Jones, Luca di Mare, Ioan Hadade, 2020, ACM Trans. Parallel Comput..

Timothy M. Jones, Ruoyu Zhou, Hsi-Ming Ho, 2018, TIME.

Michael F. P. O'Boyle, Sandro Bartolini, Timothy M. Jones, 2008, 2008 Design, Automation and Test in Europe.

Michael F. P. O'Boyle, Grigori Fursin, Edwin V. Bonilla, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Timothy M. Jones, Nigel P. Topham, Karthik T. Sundararajan, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Timothy M. Jones, Nigel P. Topham, Karthik T. Sundararajan, 2012, International Journal of Parallel Programming.

Sam Ainsworth, Timothy M. Jones, 2019 .

Sam Ainsworth, Timothy M. Jones, Sam Ainsworth, 2016 .

Sam Ainsworth, Timothy M. Jones, 2017, CGO 2017.

Timothy M. Jones, Vasileios Porpodas, Alberto Magni, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Julio Sahuquillo, Salvador Petit, Timothy M. Jones, 2016, IEEE Computer Architecture Letters.

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2016, ICS.

Michael F. P. O'Boyle, Timothy M. Jones, Christophe Dubach, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2020, ASPLOS.

Michael F. P. O'Boyle, Edwin V. Bonilla, Timothy M. Jones, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Timothy M. Jones, Vasileios Porpodas, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Sam Ainsworth, Timothy M. Jones, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Michael F. P. O'Boyle, Timothy M. Jones, Christophe Dubach, 2008 .

Timothy M. Jones, Antonio González, Carlos Molina, 2012, TACO.

Timothy M. Jones, Ruoyu Zhou, Ruoyu Zhou, 2019, 2019 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Timothy M. Jones, Antonio González, Carlos Molina, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Michael F. P. O'Boyle, Oguz Ergin, Jaume Abella, 2009, TACO.

Michael F. P. O'Boyle, Timothy M. Jones, Christophe Dubach, 2009, 2009 IEEE International Conference on Computer Design.

Timothy M. Jones, Philip M. Watts, Muhammad Ridwan Madarbux, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Michael F. P. O'Boyle, Oguz Ergin, Jaume Abella, 2009, TACO.

Timothy M. Jones, Nandor Licker, N. Licker, 2020 .

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, CGO '12.

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, IEEE Micro.

Timothy M. Jones, Vasileios Porpodas, Konstantina Mitropoulou, 2016, 2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).

Amitabha Roy, Timothy M. Jones, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Michael F. P. O'Boyle, Jaume Abella, Timothy M. Jones, 2005, 11th International Symposium on High-Performance Computer Architecture.

Sandro Bartolini, Timothy M. Jones, Dominique Chanet, 2011, International Symposium on Code Generation and Optimization (CGO 2011).

Björn Franke, Timothy M. Jones, Vasileios Porpodas, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Michael F. P. O'Boyle, Oguz Ergin, Timothy M. Jones, 2008 .

Michael F. P. O'Boyle, Jaume Abella, Timothy M. Jones, 2011, Trans. High Perform. Embed. Archit. Compil..

Timothy M. Jones, Nigel P. Topham, Karthik T. Sundararajan, 2011, CF '11.

Michael F. P. O'Boyle, Oguz Ergin, Jaume Abella, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2017, 2017 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Michael F. P. O'Boyle, Timothy M. Jones, Christophe Dubach, 2008, CASES '08.

Sam Ainsworth, Timothy M. Jones, 2018, ASPLOS.

Timothy M. Jones, Vasileios Porpodas, Konstantina Mitropoulou, 2016, ICS.

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2020, 2020 IEEE Symposium on Security and Privacy (SP).

Timothy M. Jones, Joe Savage, Joe Savage, 2020, CGO.

Michael F. P. O'Boyle, Timothy M. Jones, Christophe Dubach, 2011, IEEE Transactions on Computers.

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Julio Sahuquillo, Salvador Petit, Timothy M. Jones, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Michael F. P. O'Boyle, Oguz Ergin, Jaume Abella, 2006 .

Timothy M. Jones, Philip M. Watts, Muhammad Ridwan Madarbux, 2016, AISTECS '16.

Jyothish Soman, Alan Mycroft, Timothy M. Jones, 2015 .

Timothy M. Jones, Ruoyu Zhou, George Wort, 2019, VEE.

Timothy M. Jones, Nigel P. Topham, Karthik T. Sundararajan, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2017, Commun. ACM.

Jyothish Soman, Alan Mycroft, Timothy M. Jones, 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Peter G. Neumann, Robert N. M. Watson, Jonathan Woodruff, 2020, 2020 IEEE Symposium on Security and Privacy (SP).

Alan Mycroft, Sam Ainsworth, Timothy M. Jones, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Timothy M. Jones, Hsi-Ming Ho, Mahwish Arif, 2021, 2021 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Lieven Eeckhout, Sam Ainsworth, Timothy M. Jones, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Timothy M. Jones, Hsi-Ming Ho, Ruoyu Zhou, 2020, Inf. Comput..

Timothy M. Jones, Giacomo Gabrielli, Peng Sun, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Simone Campanoni, Timothy M. Jones, Xiaochun Zhang, 2021, 2021 IEEE International Symposium on Workload Characterization (IISWC).

Timothy M. Jones, Sam Ainsworth, S. Ainsworth, 2016 .

Sam Ainsworth, Timothy M. Jones, S. Ainsworth, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Sam Ainsworth, Timothy M. Jones, 2018, ASPLOS.

Michael F. P. O'Boyle, John Cavazos, Timothy M. Jones, 2008 .

Timothy M. Jones, Konstantina Mitropoulou, Vasileios Porpodas, 2016 .

Nikos Nikoleris, Yuetsu Kodama, Alec Roelke, 2020, ArXiv.

Timothy M. Jones, Gu-Yeon Wei, D. Brooks, 2012, DAC Design Automation Conference 2012.

Timothy M. Jones, S. Ainsworth, 2020, ISMM.

Bobby R. Bruce, Timothy M. Jones, Syed Ali Raza Jafri, 2020, ArXiv.