Kia Bazargan

发表

Kia Bazargan, Pongstorn Maidee, Cristinel Ababei, 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ying Chen, Kia Bazargan, Karthik Ranganathan, 2005, Journal of Computer Science and Technology.

Kia Bazargan, Cristinel Ababei, 2003, ICCAD 2003.

Kia Bazargan, S. Rasoul Faraji, 2019, ASP-DAC.

Kia Bazargan, Hossein Omidian Savarbaghi, 2011, FPGA '11.

Kia Bazargan, Hushrav Mogal, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Kia Bazargan, Soheil Mohajer, Zhiheng Wang, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kia Bazargan, Cristinel Ababei, 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

Kia Bazargan, Wonjoon Choi, K. Bazargan, 2003, ICCAD.

Kia Bazargan, Arnd Scheel, Zhiheng Wang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kia Bazargan, Peng Li, David J. Lilja, 2017, ACM J. Emerg. Technol. Comput. Syst..

Kia Bazargan, Wonjoon Choi, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kia Bazargan, David J. Lilja, Marc D. Riedel, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kia Bazargan, Naman Saraf, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Majid Sarrafzadeh, Kia Bazargan, Abhishek Ranjan, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Kia Bazargan, Jennifer G. Dy, Manoel Eusebio de Lima, 2005 .

Kia Bazargan, Sachin S. Sapatnekar, Haifeng Qian, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kia Bazargan, Peng Li, David J. Lilja, 2014, IEEE Transactions on Computers.

Kia Bazargan, Ehsan K. Ardestani, Hamid Safizadeh, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Kia Bazargan, Gaurav Singh, S. Rasoul Faraji, 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

Kia Bazargan, André DeHon, A. DeHon, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chen Wang, Kia Bazargan, Peng Li, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kia Bazargan, David J. Lilja, Weikang Qian, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Kia Bazargan, Naman Saraf, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Kia Bazargan, David J. Lilja, Marc D. Riedel, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Kia Bazargan, K. Bazargan, 2006, FPGA '06.

Kia Bazargan, Sharareh Noorbaloochi, Vamsi Krishna Marreddy, 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..

Majid Sarrafzadeh, Kia Bazargan, Seda Ogrenci Memik, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Majid Sarrafzadeh, Kia Bazargan, Ryan Kastner, 2000, IEEE Des. Test Comput..

Kia Bazargan, Gang Wang, Ryan Kastner, 2005, FPGA '05.

Kia Bazargan, David J. Lilja, Marc D. Riedel, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Majid Sarrafzadeh, Kia Bazargan, Samjung Kim, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kia Bazargan, Sachin S. Sapatnekar, S. Sapatnekar, 2008, Handbook of Algorithms for Physical Design Automation.

Kia Bazargan, Cristinel Ababei, K. Bazargan, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Kia Bazargan, George Karypis, Cristinel Ababei, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Kia Bazargan, M. Hassan Najafi, S. Rasoul Faraji, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Majid Sarrafzadeh, Kia Bazargan, S. Ogrenci, 2000, 2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS 2000. Design and Implementation (Cat. No.00TH8528).

Majid Sarrafzadeh, Kia Bazargan, Ryan Kastner, 2000, Des. Autom. Embed. Syst..

Kia Bazargan, Pongstorn Maidee, K. Bazargan, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Majid Sarrafzadeh, Kia Bazargan, Samjung Kim, 1998, ISPD '98.

Kia Bazargan, Soheil Mohajer, Zhiheng Wang, 2020, ACM Trans. Reconfigurable Technol. Syst..

Majid Sarrafzadeh, Kia Bazargan, Ryan Kastner, 1999, Proceedings Tenth IEEE International Workshop on Rapid System Prototyping. Shortening the Path from Specification to Prototype (Cat. No.PR00246).

Kia Bazargan, Arnd Scheel, Zhiheng Wang, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kia Bazargan, Satish Sivaswamy, K. Bazargan, 2007, FPGA '07.

Kia Bazargan, Cristinel Ababei, Hushrav Mogal, 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kia Bazargan, Ramesh Harjani, David J. Lilja, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kia Bazargan, S. Rasoul Faraji, Pierre Abillama, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Luca Benini, Stephen A. Edwards, Yunheung Paek, 2009 .

Kia Bazargan, Cristinel Ababei, Hushrav Mogal, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kia Bazargan, Pongstorn Maidee, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin Li, Kia Bazargan, David J. Lilja, 2009, GLSVLSI '09.

Ying Chen, Kia Bazargan, Karthik Ranganathan, 2004, Asia-Pacific Computer Systems Architecture Conference.

Kia Bazargan, Soheil Mohajer, Zhiheng Wang, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kia Bazargan, Pongstorn Maidee, 2007, 2007 International Conference on Field Programmable Logic and Applications.

Kia Bazargan, Wonjoon Choi, 2003, ICCAD 2003.

Kia Bazargan, Marc D. Riedel, Satish Sivaswamy, 2009, 2009 10th International Symposium on Quality Electronic Design.

Kia Bazargan, Cristinel Ababei, K. Bazargan, 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

Kia Bazargan, Arnd Scheel, Zhiheng Wang, 2015, DAC 2015.

Kia Bazargan, David J. Lilja, Weikang Qian, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Majid Sarrafzadeh, Kia Bazargan, Abhishek Ranjan, 2000, Proceedings 2000 International Conference on Computer Design.

Kia Bazargan, David J. Lilja, M. Hassan Najafi, 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

Kia Bazargan, David J. Lilja, Weikang Qian, 2011 .

Kia Bazargan, Morteza Saheb Zamani, M. S. Zamani, 2009, 2009 IEEE International Conference on 3D System Integration.

Kia Bazargan, Hadi Esmaeilzadeh, Jongse Park, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kia Bazargan, Ramesh Harjani, David J. Lilja, 2017, IEEE Micro.

Kia Bazargan, David J. Lilja, Weikang Qian, 2011 .

Kia Bazargan, Cristinel Ababei, 2004, IPDPS.

Kia Bazargan, Hadi Esmaeilzadeh, Jongse Park, 2015, IEEE Micro.

Kia Bazargan, David J. Lilja, Bingzhe Li, 2019, 20th International Symposium on Quality Electronic Design (ISQED).

Kia Bazargan, Cristinel Ababei, Hushrav Mogal, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kia Bazargan, 2007, FPGA '07.

Evangeline F. Y. Young, Kia Bazargan, K. Bazargan, 2005, ASP-DAC '05.

Kia Bazargan, Jason H. Anderson, 2018 .

Kia Bazargan, Karthikeyan Bhasyam, 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..

Ying Chen, Kia Bazargan, Karthik Ranganathan, 2004 .

Kia Bazargan, Marc D. Riedel, M. Hassan Najafi, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Majid Sarrafzadeh, Kia Bazargan, Abhishek Ranjan, 2000, ACM Great Lakes Symposium on VLSI.

Kia Bazargan, Yanzi Zhu, Peiran Suo, 2014, FPGA.

Kia Bazargan, Gaurav Singh, Sayed Abdolrasouol Faraji, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Kia Bazargan, K. Bazargan, 2020 .

Kia Bazargan, George Karypis, Cristinel Ababei, 2002, ICCAD 2002.

Majid Sarrafzadeh, Kia Bazargan, 2000, SPIE Optics East.

Xin Li, Kia Bazargan, David J. Lilja, 2011, IEEE Transactions on Computers.

Kia Bazargan, Pongstorn Maidee, Nagib Hakim, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Kia Bazargan, Pongstorn Maidee, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Kia Bazargan, Naman Saraf, K. Bazargan, 2015, 2015 IEEE Dallas Circuits and Systems Conference (DCAS).

Kia Bazargan, David J. Lilja, Weikang Qian, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kia Bazargan, David J. Lilja, Marc D. Riedel, 2017, IEEE Transactions on Computers.

Kia Bazargan, Gang Wang, Ryan Kastner, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kia Bazargan, David J. Lilja, Weikang Qian, 2012, 17th Asia and South Pacific Design Automation Conference.

Kia Bazargan, Yan Feng, Sachin S. Sapatnekar, 2005, IEEE Design & Test of Computers.

Kia Bazargan, Cristinel Ababei, Hushrav Mogal, 2005, FPGA '05.

Kia Bazargan, Hushrav Mogal, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Kia Bazargan, K. Bazargan, 2008, Handbook of Algorithms for Physical Design Automation.

Kia Bazargan, David J. Lilja, Marc D. Riedel, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Kia Bazargan, Sachin S. Sapatnekar, Haifeng Qian, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Kia Bazargan, David J. Lilja, Bingzhe Li, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kia Bazargan, Pongstorn Maidee, Cristinel Ababei, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Kia Bazargan, Sachin S. Sapatnekar, Haifeng Qian, 2007, ICCAD 2007.

Kia Bazargan, Naman Saraf, 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

Kia Bazargan, Satish Sivaswamy, 2007, 2007 International Conference on Field Programmable Logic and Applications.

Kia Bazargan, Cristinel Ababei, Hushrav Mogal, 2005 .

Majid Sarrafzadeh, Kia Bazargan, Seda Ogrenci Memik, 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

Kia Bazargan, S. Rasoul Faraji, K. Bazargan, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Kia Bazargan, Pongstorn Maidee, Cristinel Ababei, 2004, FPL.

Kia Bazargan, S. Rasoul Faraji, 2020, IEEE Transactions on Computers.

Kia Bazargan, Peng Li, David J. Lilja, 2012, PATMOS.

Kia Bazargan, David J. Lilja, Weikang Qian, 2012 .

Gang Wang, Kia Bazargan, Ryan Kastner, 2005 .