Jie Gu

发表

Jie Gu, Zhi Xue, 2010, 2010 IEEE International Conference on Communications.

Jie Gu, Zhengyu Chen, Jie Gu, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jie Gu, Seda Ogrenci Memik, Yingyi Luo, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Jie Gu, Russ Joseph, Simone Campanoni, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Jie Gu, Tianyu Jia, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Yang Liu, Jie Gu, Boxuan Wen, 2017, 2017 12th International Conference on Intelligent Systems and Knowledge Engineering (ISKE).

Jie Gu, Sachin S. Sapatnekar, John Keane, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, Shiming Xiang, Chunhong Pan, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Jie Gu, Huaguo Zhang, Ping Wei, 2020, 2020 IEEE 10th International Conference on Electronics Information and Emergency Communication (ICEIEC).

Yu Zhang, Jie Gu, Jianping Ying, 2004, The 4th International Power Electronics and Motion Control Conference, 2004. IPEMC 2004..

Lihua Huang, Jie Gu, Heng Xu, 2015, 2015 IEEE International Conference on Intelligence and Security Informatics (ISI).

Jie Gu, Tianyu Jia, Josiah D. Hester, 2018, 2018 31st IEEE International System-on-Chip Conference (SOCC).

Jie Gu, Huanyu Wang, Zhengyu Chen, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Hai Zhou, Jie Gu, Shuyu Kong, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Jie Gu, 2009, 2009 Fifth International Conference on Natural Computation.

Jie Gu, Zhijian Jin, Chang Liu, 2017, 2017 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe).

Jie Gu, Zhijian Jin, Honglin Wen, 2019, 2019 IEEE 17th International Conference on Industrial Informatics (INDIN).

Jie Gu, Anantha Chandrakasan, Alice Wang, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, Sachin S. Sapatnekar, John Keane, 2006, IEEE Custom Integrated Circuits Conference 2006.

Jie Gu, Michael G. Pecht, Donald Barker, 2007, Microelectron. Reliab..

Jie Gu, John Keane, Chris H. Kim, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, Zhihua Huang, Songnian Lu, 2012, J. Networks.

Jie Gu, Gaofeng Meng, Shiming Xiang, 2019, Pattern Recognit..

Jie Gu, Russ Joseph, Tianyu Jia, 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

Jie Gu, Yang You, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Jie Gu, Zhi Xue, Fangbiao Li, 2012, 2012 Fourth International Conference on Computational and Information Sciences.

Jie Gu, Sze Fong Yau, S. Yau, 1997, 1997 IEEE International Conference on Acoustics, Speech, and Signal Processing.

Jie Gu, Gaofeng Meng, Shiming Xiang, 2018, NeurIPS.

Hai Zhou, Jie Gu, Yuanqi Shen, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jie Gu, Zhi Xue, Liang Zhao, 2010, 2010 IEEE International Conference on Information Theory and Information Security.

Uming Ko, Nathan Ickes, Jie Gu, 2012, IEEE Journal of Solid-State Circuits.

Jie Gu, Yunjie Calvin Xu, Cheng Zhang, 2017, Decis. Support Syst..

Jie Gu, Gaofeng Meng, Chunhong Pan, 2015, 2015 3rd IAPR Asian Conference on Pattern Recognition (ACPR).

Jie Gu, Anantha Chandrakasan, Alice Wang, 2011, 2011 24th Internatioal Conference on VLSI Design.

Jie Gu, Sachin S. Sapatnekar, Chris H. Kim, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Jie Gu, Chris H. Kim, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Jie Gu, Zhi Xue, Fangbiao Li, 2012, 2012 Fourth International Conference on Computational and Information Sciences.

Jie Gu, Chris H. Kim, Dong Jiao, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Jie Gu, Chris H. Kim, Pulkit Jain, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Jie Gu, Tianyu Jia, Kofi Otseidu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Hai Zhou, Jie Gu, Zhengyu Chen, 2018, 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

Jie Gu, Michael G. Pecht, Donald Barker, 2007, AAAI Fall Symposium: Artificial Intelligence for Prognostics.

Jie Gu, Russ Joseph, Tianyu Jia, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

Jie Gu, Chris H. Kim, Hanyong Eom, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Jie Gu, Chris H. Kim, Jonggab Kil, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, John Keane, Chris H. Kim, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lingfeng Wang, Jie Gu, Gaofeng Meng, 2017, 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Jie Gu, Ramesh Harjani, Chris H. Kim, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, Wei Nie, Xin Yin, 2019, 2019 IEEE 3rd Advanced Information Management, Communicates, Electronic and Automation Control Conference (IMCEC).

Jie Gu, Russ Joseph, Tianyu Jia, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jie Gu, John Keane, Chris H. Kim, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Jie Gu, Yijie Wei, Qiankai Cao, 2020, 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC).

Jie Gu, Yuanbing Zhou, Xianqiang Zuo, 2007, IDEAL.

Jie Gu, Hao Mu, Yaodong Zhao, 2019, 2019 International Conference on Control, Automation and Information Sciences (ICCAIS).

Jie Gu, Tianyu Jia, 2018, IEEE Journal of Solid-State Circuits.

Jie Gu, Tianyu Jia, Yuhao Ju, 2021, IEEE Journal of Solid-State Circuits.

Jie Gu, Anantha Chandrakasan, Alice Wang, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Jie Gu, Zhijian Jin, Ruicheng Zheng, 2019, 2019 IEEE Power & Energy Society General Meeting (PESGM).

Jie Gu, Yunjie Calvin Xu, Heng Xu, 2015, 2015 48th Hawaii International Conference on System Sciences.

Jie Gu, Rui Cao, Fang Wei, 2017, 2017 IEEE/CIC International Conference on Communications in China (ICCC).

Hai Zhou, Jie Gu, Zhengyu Chen, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jie Gu, Shanshan Wang, Huiwen Wang, 2017, Knowl. Based Syst..

Jie Gu, Tianyu Jia, Yuhao Ju, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Hai Zhou, Jie Gu, Shuyu Kong, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jie Gu, Russ Joseph, Tianyu Jia, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Jie Gu, Jieda Li, Jieda Li, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Hai Zhou, Jie Gu, Zhengyu Chen, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Peng Liu, Jie Gu, Kun Yang, 2017, Wirel. Pers. Commun..

Jie Gu, Zhi Xue, 2011, IEEE Communications Letters.

Jie Gu, Guopeng Zhang, Jiansheng Qian, 2018, 2018 16th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks (WiOpt).

Jie Gu, Marcos Marino, Jie Gu, 2021, SciPost Physics.

Jie Gu, Hua-Lei Yin, Zeng-Bing Chen, 2021, Optics express.

Jie Gu, Zhijian Jin, Honglin Wen, 2021, IEEE Transactions on Smart Grid.

Jie Gu, Hua-Lei Yin, Zeng-Bing Chen, 2021, New Journal of Physics.

Yu Zhang, Jie Gu, Jianping Ying, 2005, 2005 International Conference on Electrical Machines and Systems.

Jie Gu, Xuekun Bai, Xianglong Zeng, 2016, IEEE Photonics Technology Letters.

Jie Gu, Cuiping Kuang, Yongfeng Zhang, 2014, Journal of Mountain Science.

Jie Gu, Lulu He, Cuiping Kuang, 2009, 2009 3rd International Conference on Bioinformatics and Biomedical Engineering.